Foreign-Produced Direct Product Rule Additions, and Refinements to Controls for Advanced Computing and Semiconductor Manufacturing Items, 96790-96830 [2024-28270]
Download as PDF
96790
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
DEPARTMENT OF COMMERCE
Bureau of Industry and Security
15 CFR Parts 732, 734, 736, 740, 742,
744, 746, 758, 762, 772, and 774
[Docket No. 241126–0302]
RIN 0694–AJ74
Foreign-Produced Direct Product Rule
Additions, and Refinements to
Controls for Advanced Computing and
Semiconductor Manufacturing Items
Bureau of Industry and
Security, Commerce.
ACTION: Interim final rule.
AGENCY:
In this interim final rule (IFR),
the Bureau of Industry and Security
(BIS) makes changes to the Export
Administration Regulations (EAR)
controls for certain advanced computing
items, supercomputers, and
semiconductor manufacturing
equipment, which includes adding new
controls for certain semiconductor
manufacturing equipment and related
items, creating new Foreign Direct
Product (FDP) rules for certain
commodities to impair the capability to
produce ‘‘advanced-node integrated
circuits’’ (‘‘advanced-node ICs’’) by
certain destinations or entities of
concern, adding new controls for certain
high bandwidth memory important for
advanced computing, and clarifying
controls on certain software keys that
allow for the use of items such as
software tools. This IFR publishes
concurrently with another BIS final rule
entitled, ‘‘Additions and Modifications
to the Entity List; and Removals from
the Validated End-User (VEU) Program’’
(Entity List rule) that adds to and
modifies the Entity List to ensure
appropriate EAR controls are in place
for certain critical technologies and to
minimize the risk of diversion to
entities of concern.
DATES:
Effective date: This rule is effective
December 2, 2024.
Compliance dates: Although this rule
is effective December 2, 2024, exporters,
reexporters, and transferors are not
required to comply with the changes
made in the following amendatory
instructions until the compliance dates
specified below for the respective
amendatory instructions. If no
compliance date is provided, the parties
must comply with those requirements as
of the effective date of this IFR.
• The changes made in this IFR in
amendatory instructions 2 (Red Flags)
and 6 (§ 734.19) have a compliance date
of December 2, 2024.
khammond on DSK9W7S144PROD with RULES2
SUMMARY:
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
• The changes made in this IFR in
amendatory instructions 4, 5, 15, 16, 17,
19, 20, 21, 23, 25, 29, and 31 (ECCNs
3B001, 3B002, 3B991, 3B992, 3B993,
3B994, 3A090, 3D001 (related to
3A090.c and 3B commodities), 3D002,
3D992, 3D993, 3D994, 3E001 (related to
3A090.c and 3B commodities) (HBM
controls and related changes), 3E992,
3E993, and 3E994) FN5, and FDP rules,
and related changes, and DRAM
definition changes) have a compliance
date of December 31, 2024.
Comments due date: Comments must
be received by BIS no later than January
31, 2025.
ADDRESSES: Comments on this IFR may
be submitted to the Federal rulemaking
portal at: www.regulations.gov. The
regulations.gov ID for this IFR is BIS–
2024–0028. Please refer to RIN 0694–
AJ74 in all comments.
All filers using the portal should use
the name of the person or entity
submitting the comments as the name of
their files, in accordance with the
instructions below. Anyone submitting
business confidential information
should clearly identify the business
confidential portion at the time of
submission, file a statement justifying
nondisclosure and referring to the
specific legal authority claimed, and
provide a non-confidential version of
the submission.
For comments submitted
electronically containing business
confidential information, the file name
of the business confidential version
should begin with the characters ‘‘BC.’’
Any page containing business
confidential information must be clearly
marked ‘‘BUSINESS CONFIDENTIAL’’
on the top of that page. The
corresponding non-confidential version
of those comments must be clearly
marked ‘‘PUBLIC.’’ The file name of the
non-confidential version should begin
with the character ‘‘P.’’ Any
submissions with file names that do not
begin with either a ‘‘BC’’ or a ‘‘P’’ will
be assumed to be public and will be
made publicly available at: https://
www.regulations.gov. Commenters
submitting business confidential
information are encouraged to scan a
hard copy of the non-confidential
version to create an image of the file,
rather than submitting a digital copy
with redactions applied, to avoid
inadvertent redaction errors which
could enable the public to read business
confidential information.
FOR FURTHER INFORMATION CONTACT:
• For general questions, contact
Regulatory Policy Division, Office of
Exporter Services, Bureau of Industry
and Security, U.S. Department of
PO 00000
Frm 00002
Fmt 4701
Sfmt 4700
Commerce at 202–482–2440 or by email:
RPD2@bis.doc.gov.
• For Category 3 technical questions,
contact Carlos Monroy at 202–482–3246
or by email: Carlos.Monroy@bis.doc.gov.
SUPPLEMENTARY INFORMATION:
I. Background
A. BIS’s Implementation of Export
Controls To Address National Security
Risks and Foreign Policy Objectives
Associated With the People’s Republic
of China (PRC)’s Use of Advanced
Computing, Supercomputer, and
Semiconductor Manufacturing
PRC leadership at the highest levels
has stressed the importance of building
an indigenous and self-sufficient
semiconductor ecosystem, referring to
ICs in particular as critical to PRC
national security strategy. Reporting
from PRC state-owned media outlets has
even referred to integrated circuits (ICs)
as the ‘‘main battlefield’’ of the PRC’s
Military-Civil Fusion (MCF) National
Strategy to eliminate barriers between
the PRC’s civilian research and
commercial sectors and its military and
defense industrial sectors to ensure that
innovations in the civilian sector
simultaneously advance the PRC’s
military capabilities. The Chinese
Communist Party (CCP) aims to achieve
a world class military by 2049 through
MCF. Due to the significance of
semiconductors to that strategy and the
PRC’s technology ambitions, PRC
political and scientific leaders have
sought to develop an ‘‘independent and
controllable’’ semiconductor industry
for decades—one that is fully within the
government’s control and not reliant on
foreign suppliers. The PRC has also
mandated and incentivized relevant
domestic firms to dedicate significant
resources to realizing these strategic
objectives, demonstrating the top-down,
hands-on approach that the PRC is
taking to shape this ecosystem to benefit
itself, with a related detriment to the
technology leadership of the United
States and its allies.
Export controls on semiconductor
manufacturing equipment (SME) and
related parts and components are
central to countering the PRC’s goal of
furthering its ‘‘advanced-node ICs’’
production capacity in support of its
military modernization and weapons of
mass destruction (WMD) programs.
Since October 2022, BIS has published
a series of IFRs imposing controls on
advanced computing and
supercomputing items and SME,
starting with an IFR that was issued on
October 7, 2022, ‘‘Implementation of
Additional Export Controls: Certain
Advanced Computing and
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
Semiconductor Manufacturing Items;
Supercomputer and Semiconductor End
Use; Entity List Modification’’ (October
7 IFR) (87 FR 62186, October 13, 2022).
The October 7 IFR amended the EAR to
implement controls on advanced
computing ICs, computer commodities
that contain such ICs, and certain SME
and parts and components needed to
produce those and other advanced ICs,
and to make other EAR changes to
implement appropriate related controls,
including on certain ‘‘U.S. person’’
activities that ‘support’ (as defined in
§ 744.6 of the EAR) the ‘‘development’’
or ‘‘production’’ of certain ICs in the
PRC.
The October 7 IFR explained that
these controls were aimed at limiting
the PRC’s ability to engage in activities
that would pose significant threats to
U.S. national security and foreign
policy. Specifically, BIS determined that
certain advanced computing ICs and
related computing items—many of
which originated in the United States or
were produced with U.S. technology,
software, or tools—could enable the
PRC to develop certain enhanced data
processing and analysis capabilities,
including through AI applications
because of the high processing power of
the advanced ICs and related computing
items. Additionally, BIS determined
that the capability to produce advanced
computing ICs for advanced computing
systems, such as AI systems, through
the use of certain SME, presented
significant national security and foreign
policy concerns because indigenous
production is another means to obtain
‘‘advanced computing ICs’’ and other
advanced computing systems. These
capabilities could be used by the PRC to
further its military modernization
efforts, improve calculations in weapons
design and testing (including for WMD),
and violate basic human rights through
comprehensive surveillance programs.
As previously stated, these activities are
contrary to U.S. national security and
foreign policy as set forth in the Export
Control Reform Act of 2018 (ECRA)
(codified, as amended, at 50 U.S.C.
4801–4852), which directs BIS to
control items subject to the jurisdiction
of the United States when those items
could be used in ‘‘military programs
that pose a threat to the security of the
United States or its allies,’’ could lead
to ‘‘the proliferation of weapons of mass
destruction or of conventional
weapons,’’ or could undermine the
‘‘foreign policy of the United States,
including the protection of human
rights and the promotion of democracy’’
(50 U.S.C. 4811(2)).
To effectuate its controls under the
October 7 IFR—and, consequently, to
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
help reduce the risk that U.S.
technology would contribute to
activities that threaten U.S. national
security and foreign policy—BIS added
new Export Control Classification
Numbers (ECCNs) 3A090 (specified
high-performance ICs), 4A090 (related
computing items), and 3B090 (specified
SME essential to produce ‘‘advancednode ICs’’).
Because many of the advanced
computing ICs and related computing
items controlled by the October 7 IFR
are produced by manufacturers outside
the United States relying on U.S.
software, technology, or tools, the
October 7 IFR also imposed two
additional foreign direct product (FDP)
rules. As a general matter, the EAR
asserts jurisdiction over certain foreignproduced items made with the use of
U.S. technology, software, or tools, as
described under the various FDP rules
in § 734.9 of the EAR. The FDP rules do
not apply to all items and end users.
Instead, FDP rules are targeted to cover
specific combinations of items,
destinations, end users, or end uses.
Accordingly, the October 7 IFR
implemented two new FDP rules related
to advanced computing items and
‘‘supercomputers’’ to extend jurisdiction
over certain items produced in foreign
countries and destined to the PRC or
certain entities in the PRC. BIS also
expanded the scope of an existing FDP
rule that covers items destined for
certain entities on the Entity List.
In 2023, BIS issued two rules
imposing additional controls on
advanced computing and
semiconductor manufacturing items. In
the IFR, ‘‘Export Controls on
Semiconductor Manufacturing Items’’
(SME IFR) (88 FR 73424, October 25,
2023), BIS added controls for additional
SME essential to producing ‘‘advancednode ICs’’ and required licenses for such
SME, as well as for SME previously
controlled under ECCN 3B090 (now
3B001 and 3B002), when destined to
Macau and Country Group D:5. The
same day it issued the SME IFR, BIS
issued a second rule to address
advanced computing chips. The IFR,
‘‘Implementation of Additional Export
Controls: Certain Advanced Computing
Items; Supercomputer and
Semiconductor End Use; Updates and
Corrections’’ (AC/S IFR) (88 FR 73458,
October 25, 2023), adjusted parameters
for advanced computing ICs that are
critical for advanced computing and AI
applications, and imposed new
measures to address the risk of
circumvention of the controls, including
expanding the license requirement for
advanced computing ICs to apply to
Country Groups D:1, D:4, and D:5.
PO 00000
Frm 00003
Fmt 4701
Sfmt 4700
96791
Like the October 7 IFR, the SME IFR
described the national security rationale
for expanding controls on SME. The
SME IFR noted that the controls protect
U.S. national security by restricting the
PRC’s military modernization efforts
and degrading the PRC’s ability to
violate human rights. The SME IFR
further noted that the controlled SME
has profound implications for U.S.
national security, including production
of the advanced computing ICs that
could further development of weapons
of mass destruction and emerging
technologies, such as advanced artificial
intelligence systems, autonomous
weapons, cyberweapons, hypersonics,
and high-tech surveillance applications.
The PRC’s statements and activities
suggest it would use its next generation
military capabilities to engage in
activities contrary to U.S. and allied
national security interests.
B. In Order Address the Continuing
Threat Posed by the PRC’s Efforts To
Acquire Advanced Computing Items,
Supercomputing Items, and SME, This
IFR Imposes Additional Controls
Related to Such Items
There is substantial open source and
unclassified information detailing the
PRC’s efforts to respond to the impact of
current restrictions on SME, including
key parts and components, through
supporting semiconductor research and
development, building additional
facilities as alternate suppliers to
fabrication facilities, and funding
indigenous producers of SME.
As such, to address the continued
threat from the PRC’s efforts to develop
an ‘‘independent and controllable’’
semiconductor industry to produce
‘‘advanced-node ICs’’ to help achieve a
world-class military, BIS is imposing
additional controls on certain types of
SME and related items that are used to
produce ‘‘advanced-node ICs.’’ BIS is
also establishing new foreign FDP
controls for certain SME items that
originate in foreign countries but are
produced with U.S. technology,
software, or tools, as well as SME items
that contain essential components, like
ICs, that could not be produced without
U.S. technology, software, or tools.
These changes, and the related national
security and foreign policy
considerations, are described below
under sections III.A and III.B. The
addition of new FDP rules also requires
certain additional revisions to parts 734
and 736, which are described below
under sections III.A.4 (regarding the
FDP rules) and III.B.1 (regarding de
minimis changes) of this preamble.
Additionally, BIS is imposing new
controls on certain high-bandwidth
E:\FR\FM\05DER2.SGM
05DER2
96792
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
khammond on DSK9W7S144PROD with RULES2
memory (HBM) commodities that
provide necessary memory capacity and
bandwidth needed for advanced
artificial intelligence (AI) models and
supercomputing applications. Such
applications can enable advanced
military and intelligence applications,
lower the barriers to entry for nonexperts to develop WMD, support
powerful offensive cyber operations,
and assist in using mass surveillance to
commit human rights abuses. In
accordance with the policy goals
described in § 1752(1)(A) and (2)(D),
imposing controls on certain HBM is
necessary to restrict items that can make
a ‘‘significant contribution to the
military potential of any other country’’
and to ‘‘carry out the foreign policy of
the United States, including the
protection of human rights.’’
Finally, this IFR also adds new
paragraph (b) to 734.19 to specify that
software keys, also called software
license keys, which allow users the
ability to use ‘‘software’’ or hardware by
providing access to it, and software keys
that renew existing ‘‘software’’ or
hardware use licenses, are classified and
controlled under the same ECCNs on the
Commerce Control List (CCL) (15 CFR
part 744) as the corresponding
‘‘software’’ or hardware to which they
provide access, or in the case of
hardware, the software key would be
classified under the corresponding
ECCN in the software group (e.g., a
software license key that allows the use
of hardware classified under ECCN
5A992 would be classified under ECCN
5D992). This clarification applies to,
among other items, software keys for
electronic computer-aided design
(ECAD) tools that are important to the
development and production of
‘‘advanced-node ICs’’ and thus can have
a significant impact on military
programs posing a threat to the security
of the United States and its allies (see
§ 1752(2)(A)(iv) of ECRA). Additionally,
as noted under § 1752(7) of ECRA,
administering export controls in an
effective manner ‘‘requires a clear
understanding both inside and outside
the U.S. Government of which items are
controlled.’’ This clarification enhances
the exporting community’s
understanding to prevent unauthorized
access to controlled ‘‘software’’ or
hardware.
C. Additional Changes and Relation of
This IFR With the Accompanying BIS
Rule, ‘‘Additions and Modifications to
the Entity List; and Removals From the
Validated End-User (VEU) Program.’’
The controls implemented since
October 2022 have also added or
expanded end-use controls under part
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
744 of the EAR. In particular, the
addition of § 744.23 and the expansion
of the ‘‘U.S. person’’ control under
§ 744.6 have supplemented the CCLbased controls to address the national
security and foreign policy concerns
with these items. Public comments on
both the SME and AC/S IFRs published
since October 2022 in this area have
requested the U.S. Government to
publicly identify ‘‘production’’
‘‘facilities’’ for ‘‘advanced-node ICs,’’ or
entities engaged in the indigenization of
SME. In the SME IFR and AC/S IFR, BIS
noted its support of identifying such
entities to enhance compliance by
exporters, reexporters, and transferors
and to improve the effectiveness of the
controls.
Consequently, BIS is publishing
concurrently with this IFR the Entity
List rule. The Entity List rule adds
entities to the Entity List because of
specific national security or foreign
policy concerns regarding those entities,
which are described in that rule. The
addition and modification of certain
entities on the Entity List are designed
to impair their current or potential
‘‘development’’ or ‘‘production’’ of
‘‘advanced-node ICs.’’ The addition of
other entities on the Entity List are
designed to impair their ability to
produce SME and related items. The
Entity List rule publishes concurrently
with this IFR as part of the larger effort
to ensure appropriate EAR controls are
in place on these items, including in
connection with transactions destined
to, or otherwise involving, the entities
being added to the Entity List, as well
as for existing entries on the Entity List
that this final rule modifies.
The Entity List rule publishing
concurrently with this IFR adds entities
to the Entity List and modifies certain
existing entries on the Entity List. As
part of that Entity List rule, BIS has
included a Footnote 5 (which extends
EAR jurisdiction to certain foreignproduced items pursuant to
§ 734.9(e)(3)) designation for certain
entities being added to the Entity List
and to certain entities already on the
Entity List. While the Entity List rule
adds Footnote 5 designations to specific
entities, this IFR adds FDP rules, license
requirements, license exceptions, and
Temporary General Licenses (TGLs) that
pertain to exports, exports from abroad,
reexports, and transfers (in-country)
when these entities are involved in the
transaction. See the Entity List rule
publishing concurrently with this IFR
for an identification of which new and
modified entities on the Entity List will
receive a Footnote 5 designation.
As BIS identifies additional entities
that warrant being subject to these
PO 00000
Frm 00004
Fmt 4701
Sfmt 4700
controls, they will be added to the
Entity List. However, exporters,
reexporters, and transferors must
continue to conduct due diligence,
including by reviewing transactions for
Red Flags (i.e., circumstances in a
transaction that indicate that the export
may be destined for an inappropriate
end use, end user, or destination). To
assist parties in identifying Red Flags,
this IFR adds eight new Red Flags to
supplement no. 3 to part 732. The Red
Flags are discussed in section III.C.5 of
this preamble.
The controls implemented since
October 2022 are calibrated to impact
‘‘advanced-node IC’’ production (and
related development) activities without
significantly impacting global
commercial supply chains. However,
calibrated controls by their nature tend
to be more complex than broader
controls. BIS has evaluated the
effectiveness of these controls and has
identified revisions to enhance clarity
and to ensure the controls are effectively
addressing national security and foreign
policy concerns. BIS is still in the
process of reviewing and responding to
the comments received on the SME IFR
and AC/S IFR, as well as comments on
another IFR, ‘‘Implementation of
Additional Export Controls: Certain
Advanced Computing Items;
Supercomputer and Semiconductor End
Use; Updates and Corrections; and
Export Controls on Semiconductor
Manufacturing Items; Corrections and
Clarifications’’ (April 4 IFR) (88 FR
23876, April 4, 2024). However, at this
time, BIS has identified additional
changes that will be implemented in
this IFR. BIS is soliciting comments on
these changes and will address any such
comments along with comments on the
three earlier IFRs as needed in a
subsequent rule.
The controls enacted by this IFR, as
described in section III below, are
consistent with ECRA. The underlying
purpose of ECRA encompasses
restricting the products of U.S.
‘‘technology,’’ ‘‘software,’’ and
‘‘commodities’’ that could be used to
undermine U.S. national security and
foreign policy. As explained above, the
PRC’s goal of achieving a world class
military by 2049 is a critical concern to
U.S. national security and foreign
policy. ECRA provides that the policy of
the United States is ‘‘to restrict the
export of items which would make a
significant contribution to the military
potential of any other country or
combination of countries which would
prove detrimental to the national
security of the United States; and to
restrict the export of items if necessary
to further significantly the foreign
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
policy of the United States . . .’’ (50
U.S.C. 4811(1)). Because the
development of a PRC ‘‘independent
and controllable’’ semiconductor
industry is a critical factor in the PRC’s
ability to achieve its goal, ECRA
authorizes the implementation of
sufficient controls to prevent the PRC’s
possession of sensitive items and is
necessary to advance the U.S. national
security and foreign policy interests.
II. Overview of This Interim Final Rule
In this IFR, BIS makes changes to EAR
controls for advanced computing items,
supercomputers, and SME. The five
categories of changes implemented by
this IFR are described in section III as
follows:
A. Addition of two new FDP rules in
§ 734.9 of the EAR for certain types of
advanced SME and for entities on the
Entity List involved in the production of
‘‘advanced-node ICs’’;
B. Additional revisions related to the
production of semiconductors and other
conforming changes, including revisions
to de minimis provisions that correlate
to the new FDP rules, establishment of
new License Exception Restricted
Fabrication ‘‘Facility’’ (RFF), addition of
eight new Red Flags, clarifications to
§ 744.23, and revisions and conforming
changes to other parts of the EAR;
C. Addition of HBM controls,
including addition of new ECCN
3A090.c and License Exceptions HBM;
D. Clarification to software keys to
address when authorization is required;
and
E. Revisions to the CCL in supplement
no. 1 to part 774, including revisions to
eight existing ECCNs and addition of
eight new ECCNs.
III. Changes to the EAR
khammond on DSK9W7S144PROD with RULES2
A. Addition of Two New Foreign Direct
Product Rules Related to the Production
of ‘‘Advanced-Node ICs’’
1. National Security and Foreign Policy
Considerations for New FDP Rule for
Entities on the Entity List Involved in
the Production of ‘‘Advanced-Node ICs’’
in Countries of Concern
This IFR implements a new FDP rule
for certain SME that are essential to, or
support, producing ‘‘advanced-node
ICs,’’ which have important military
applications (SME FDP). This interim
final rule also implements a new FDP
rule for entities on the Entity List
designated with new Footnote 5 (FN5
FDP). The entities added to the Entity
List with a Footnote 5 designation are
being added because of specific national
security or foreign policy concerns
described in the Entity List rule,
including supporting, or having the
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
potential to support, the PRC’s efforts to
develop and produce ‘‘advanced-node
ICs,’’ including for military end uses.
As explained in the October 7 IFR, the
SME IFR, and above in this IFR, the
capability to produce ‘‘advanced-node
ICs’’ is a force-multiplying technology
that has critical implications for
national security and foreign policy.
SME is needed to produce ‘‘advancednode ICs,’’ and the ability to produce
‘‘advanced-node ICs’’ has impacts across
various technology ecosystems critical
to national security. For example,
‘‘advanced-node ICs’’ improve
computing power and efficiency relative
to older IC technology, enabling the
compute miniaturization necessary for
the next generation of autonomous
weapons systems, as well as the
compute scaling necessary for exascale
supercomputing and advanced AI
capabilities, both of which can directly
contribute to development of WMD,
advanced weapons systems, and hightech surveillance applications.
In particular, advancements in largescale AI models have shown striking
performance improvements and can be
utilized for advanced military and
intelligence applications. These largescale AI models have the ability to
rapidly review large volumes of
information and synthesize it into
digestible and actionable points, making
them well-suited for battlefield
capabilities and thus having the
potential to alter the nature of warfare.
They may also pose threats to national
security and foreign policy by diffusing
dangerous capabilities by lowering the
barrier to develop cyberweapons or
chemical, biological, radiological, or
nuclear weapons, developing tools with
increasingly autonomous capabilities
relevant to national security
applications, and utilizing facial and
voice recognition to surveil minorities
and political dissidents.
As discussed, BIS continues to
advance U.S. national security and
foreign policy interests by imposing
controls that reduce the risk U.S.
technology will contribute to the PRC’s
ability to carry out those activities.
Specifically, BIS has imposed controls
on the SME and related parts and
components used to produce
‘‘advanced-node ICs.’’ BIS has also
added several FDP rules to § 734.9 to
extend the jurisdiction of the EAR to
additional foreign-made items,
including revisions to § 734.9(e) to add
a new product scope and end-user scope
for certain entities on the Entity List.
This IFR also revises the § 734.9(e)
(Entity List FDP rule) introductory text
to reference this new FN5 FDP and to
also revise the paragraph heading, so it
PO 00000
Frm 00005
Fmt 4701
Sfmt 4700
96793
references Entity List FDP rules to
reflect that there is more than one Entity
List FDP rule described under paragraph
(e).
Although those controls have been
effective, BIS has found that PRC
entities of concern have continued
purchasing SME items produced outside
the United States that include SME
items produced with the use of U.S.
technology, software, or tools, as well as
SME items using components, such as
ICs, necessary for the function of the
SME items and also produced with the
use of U.S. technology, software, or
tools. U.S. technology, software, and
tools therefore remain key to the
production or function of the SME items
being purchased by PRC entities of
concern.
Based on these findings, this IFR
implements the SME FDP and FN5 FDP,
which will impose additional controls
on certain SME items—which are used
for the production of ‘‘advanced-node
ICs’’—as described further in section 2
below. Both FDPs will regulate the
products of tools, ‘‘technology,’’ and
‘‘software’’ that are made or developed
using U.S.-origin ‘‘technology’’ or
‘‘software,’’ or which contain a foreign
product made from tools that are a
product of U.S.-origin ‘‘technology’’ or
‘‘software.’’ As with the controls
previously imposed by the October 7
IFR and the SME IFR, the purpose of the
SME FDP and FN5 FDP rules is to
reduce the risk that U.S. technology will
contribute to the PRC’s efforts to
produce ‘‘advanced-node ICs’’ that
threaten U.S. national security and
foreign policy interests. As detailed
below, the SME FDP and FN5 FDP rules
simply recognize that certain SME
items—which are already subject to
comprehensive restrictions when
destined for advanced fabrication
facilities in Country Group D:5 or
Macau when they originate from the
United States—should also be subject to
controls when destined for such entities
when produced abroad, if they are
directly produced with U.S.
‘‘technology’’ or otherwise contain a
critical component that could not have
been produced without U.S.
‘‘technology.’’
2. Scope of FN5 FDP
Specifically, this interim final rule
implements a new FDP rule for entities
on the Entity List designated with FN5
FDP. The entities added to the Entity
List with a Footnote 5 designation are
being added because of specific national
security or foreign policy concerns
described in the Entity List rule, such as
their involvement in supporting the
PRC’s military modernization through
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
96794
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
their potential to produce ‘‘advancednode ICs,’’ including for military end
uses.
Because of the critical national
security implications of these
technologies and the need to enhance
the effectiveness of the Entity List
designations to address foreign
availability concerns, this rule
implements the FN5 FDP to make
certain foreign-produced items subject
to the EAR, and requires a license if the
exporter, reexporter, or transferor has
‘‘knowledge’’ (which includes reason to
know) that (i) such foreign-produced
commodities will be incorporated in
any ‘‘part,’’ ‘‘component,’’ or
‘‘equipment’’ produced, purchased, or
ordered by an entity with a Footnote 5
designation; or (ii) any entity with a
Footnote 5 designation is a party to any
transaction involving such foreignproduced commodities.
The foreign-produced commodities
subject to the FN5 FDP rule are
commodities most relevant to
semiconductor production and related
activities and thus covers foreignproduced commodities meeting the
descriptions in 3B001 (except
3B001.a.4, c, d, f.1, f.5, g, h, k to n, p.2,
p.4, r), 3B002 (except 3B002.c), 3B903,
3B991 (except 3B991.b.2.a through
3B991.b.2.b), 3B992, 3B993, or 3B994.
The commodities covered include
certain ‘‘equipment’’ as well as certain
‘‘specially designed’’ ‘‘parts,’’
‘‘components,’’ and ‘‘accessories,’’ as
described within the scope of each
ECCN. If a foreign-produced commodity
does not meet any of the descriptions in
those Category 3 ECCNs, then the FN5
FDP does not apply.
The foreign-produced commodities
described in the Category 3 ECCNs
described above meet the product scope
prong of the FN5 FDP rule if they are:
(i) a ‘‘direct product’’ of ‘‘technology’’ or
‘‘software’’ subject to the EAR and
specified in ECCN 3D001 (for 3B
commodities), 3D901, 3D991 (for 3B991
and 3B992), 3D993, 3D994, 3E001 (for
3B commodities), 3E901 (for 3B903),
3E991 (for 3B991 and 3B992), 3E993, or
3E994 (see § 734.9(e)(3)(i)(A)); (ii)
produced by a complete plant or ‘major
component’ of a plant (located outside
the United States) when the complete
plant or ‘major component,’ whether
made in the United States or a foreign
country, is itself a ‘‘direct product’’ of
U.S.-origin ‘‘technology’’ or ‘‘software’’
specified in ECCN 3D001 (for 3B
commodities), 3D901, 3D991 (for 3B991
and 3B992), 3D992, 3D993, 3D994,
3E001 (for 3B commodities), 3E901 (for
3B903), 3E991 (for 3B991 and 3B992),
3E992, 3E993, or 3E994 (see
§ 734.9(e)(3)(i)(B)(1)); or (iii) contain an
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
commodity that is produced by a
complete plant or ‘major component’ of
a plant (located outside the United
States) when the complete plant or
‘major component,’ whether made in the
United States or a foreign country, is
itself a ‘‘direct product’’ of U.S.-origin
‘‘technology’’ or ‘‘software’’ specified in
ECCN 3D001 (for 3B commodities),
3D901, 3D991 (for 3B991 and 3B992),
3D992, 3D993, 3D994, 3E001 (for 3B
commodities), 3E901 (for 3B903), 3E991
(for 3B991 and 3B992)), 3E992, 3E993,
or 3E994 (see § 734.9(e)(3)(i)(B)(2)).
With respect to § 734.9(e)(3)(i)(B)(2),
this IFR adds Note 3 to provide
additional guidance on its product
scope. Note 3 clarifies that if a foreignproduced item contains an IC, and that
IC was produced with the use of a tool
that itself was a ‘‘direct product’’ of
U.S.-origin technology or software
specified in the ECCNs in
§ 734.9(e)(3)(i)(B)(2), then the product
scope would be met. In line with BIS’s
application of its FDP rules concerning
integrated circuits, the note also
specifies that the production of an
integrated circuit includes fabrication of
the integrated circuit in a wafer, as well
as assembly, testing, and packaging of
the integrated circuit.
In addition to the note, BIS is also
adding a Red Flag to assist parties in
determining whether their products fall
within § 734.9(e)(3)(i)(B)(2).
Specifically, based in part on its
assessment of supply chain data, BIS
has found that there is a significant
prevalence of certain types of U.S.origin tools (or foreign-produced tools
that are subject to the EAR) in
fabrication facilities for the production
of integrated circuits. It can thus be
presumed that any integrated circuit has
been produced using at least one U.S.
tool qualifying as a ‘major component’
under § 734.9(e)(3)(i)(B) of the FN5 FDP.
Therefore, as explained in greater detail
below, this IFR adds Red Flag 26 to
supplement no. 3 to part 732 stating that
there is a Red Flag that the product
scope of the FN5 FDP is met if the
foreign-produced item contains at least
one integrated circuit.
Importantly, as described above, the
scope of the FN5 FDP is calibrated in
multiple places to ensure the rule
captures those transactions of national
security and foreign policy concern.
First, the FN5 FDP captures only the
products of the U.S. tools, technology,
and software that are relevant to the
production of items (e.g., ‘‘advancednode ICs’’) of national security and
foreign policy concern by, for, or
involving specific parties, who are
identified on the Entity List as involved
in relevant activities of concern. The
PO 00000
Frm 00006
Fmt 4701
Sfmt 4700
FN5 FDP does not generally apply to the
destinations identified in new
supplement no. 4 to part 742. U.S.
national security and foreign policy
interests inform this approach.
Governments in those destinations are
members of multilateral export control
regimes (e.g., the Wassenaar
Arrangement on Export Controls for
Conventional Arms and Dual-use Goods
and Technologies) and have the
authority to control key SME items of
concern. Lastly, this IFR provides
varying compliance dates to provide
parties time to comport with the new
controls where possible consistent with
U.S. national security and foreign policy
concerns. Parties need not apply for a
license, for example, until the
compliance date or the effective date,
whichever is later. In so doing, this IFR
calibrates the pertinent restrictions to
provide notice of this IFR’s provisions
as well as time for parties to ensure they
can properly classify their items and
otherwise comply with this IFR’s new
requirements.
3. Scope of SME FDP Rule
Under the SME FDP rule, specified
foreign-produced commodities of
national security concern meet the
destination scope of SME FDP if there
is ‘‘knowledge’’ that the foreignproduced commodity is destined to
Macau or a destination in Country
Group D:5 of supplement no. 1 to part
740 of the EAR.
The product scope of the SME FDP
applies to: (i) a foreign-produced
commodity specified in 3B001.a.4, c, d,
f.1, f.5, k to n, p.2, p.4, r, or 3B002.c that
is the ‘‘direct product’’ of ‘‘technology’’
or ‘‘software’’ subject to the EAR and
specified in 3D992 or 3E992 of the CCL;
(ii) a foreign-produced commodity that
is produced by any complete plant or
‘major component’ of a plant that is
located outside the United States, when
the plant or ‘major component’ of a
plant, whether made in the United
States or a foreign country, itself is a
‘‘direct product’’ of U.S.-origin
‘‘technology’’ or ‘‘software’’ that is
specified in ECCN 3D001 (for 3B
commodities), 3D901, 3D991 (for 3B991
and 3B992), 3D992, 3D993, 3D994,
3E001 (for 3B commodities), 3E901 (for
3B903), 3E991 (for 3B991 or 3B992),
3E992, 3E993, or 3E994 of the CCL; or
(iii) contains a commodity produced by
any complete plant or ‘major
component’ of a plant that is located
outside the United States, when the
complete plant or ‘major component’ of
a plant, whether made in the U.S. or a
foreign country, itself is a ‘‘direct
product’’ of U.S.-origin ‘‘technology’’ or
‘‘software’’ that is specified in ECCN
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
3D001 (for 3B commodities), 3D901,
3D991 (for 3B991 and 3B992), 3D992,
3D993, 3D994, 3E001 (for 3B
commodities), 3E901 (for 3B903), 3E991
(for 3B991 or 3B992), 3E992, 3E993, or
3E994 of the CCL. For example, the
product scope of paragraph (k)(1) is met
if a foreign-produced commodity
contains an integrated circuit that is
produced by a complete plant or ‘major
component’ of a plant that itself is a
‘‘direct product’’ of U.S.-origin
‘‘technology’’ or ‘‘software’’ specified in
the ECCNs described in paragraph
(k)(1)(ii)(B).
The license requirements and
exclusions to the license requirements
associated with this SME FDP rule are
found in §§ 742.4(a)(4) and 742.6(a)(6)
of the EAR. See §§ 742.4(b)(2) and
742.6(b)(10) for license review policy
applicable to foreign-produced items
that are subject to the EAR under this
SME FDP rule. These license review
policies are each clarified by this IFR by
adding ‘‘however if § 744.23 does not
apply’’ to make clear when the case-bycase license review policy applies.
4. License Requirements and License
Review Policy for Commodities Subject
to the EAR Pursuant to the FN5 FDP or
SME FDP Rules (FDPR)
khammond on DSK9W7S144PROD with RULES2
i. FN5 FDPR as Well as License
Requirements in § 744.11(a)(2)(v)
This IFR adds § 744.11(a)(2)(v) to
impose a license requirement for
entities listed on the Entity List
(supplement no. 4 to part 744) that are
designated with new Footnote 5 (FN5
entities). This IFR adds
§ 744.11(a)(2)(v)(A) (License
requirement) to specify that a license is
required for foreign-produced
commodities to or within any
destination or to any end user or party,
subject to the EAR pursuant to
§§ 734.4(a)(9) or 734.9(e)(3), if any of the
license requirements under
§ 744.11(a)(2)(v)(A)(1) through (A)(4)
applies. See Section B.1 regarding
§ 734.4 provisions.
This IFR adds § 744.11(a)(2)(v)(A)(1)
for exports from abroad or reexports
from all countries. Specifically, a
license is required for commodities
specified in ECCN 3B993 when
exported from abroad or reexported by
an entity whose ultimate parent
company is headquartered in either
Macau or a destination specified in
Country Group D:5.
This IFR adds § 744.11(a)(2)(v)(A)(2)
for exports from abroad or reexports
from countries in Country Group A:5
that are not in supplement no. 4 to part
742. Specifically, a license is required
for commodities specified in ECCN
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
3B993 if the commodity is not subject
to equivalent controls by the relevant
country.
This IFR adds § 744.11(a)(2)(v)(A)(3)
for exports from abroad or reexports
from all countries not listed in Country
Group A:5. These license requirements
apply under two scenarios. First, under
§ 744.11(a)(2)(v)(A)(3)(i), a license is
required for commodities specified in
ECCN 3B001 (except 3B001.a.4, c, d, f.1,
f.5, k to n, p.2, p.4, r), 3B002 (except
3B002.c), 3B611, 3B903, 3B991 (except
3B991.b.2.a through 3B991.b.2.b),
3B992, 3B993, or 3B994 to be exported
from abroad or reexported by an entity
that is headquartered or whose ultimate
parent company is headquartered in a
country not specified in supplement no.
4 to part 742. Second, under
§ 744.11(a)(2)(v)(A)(3)(ii), a license is
required for commodities specified in
ECCN 3B993 to be exported from abroad
or reexported by an entity
headquartered in or whose ultimate
parent company is headquartered in a
country described in supplement no. 4
to part 742.
This IFR adds § 744.11(a)(2)(v)(A)(4)
for transfers (in-country) within the
country of the entity specified by
§ 734.9(e)(3)(ii). These license
requirements apply under two
scenarios. First, under
§ 744.11(a)(2)(v)(A)(4)(i), a license is
required for transfers by an entity whose
ultimate parent company is
headquartered in a country not
described in supplement no. 4 to part
742 and the entity is transferring a
commodity specified in ECCN 3B001
(except 3B001.a.4, c, d, f.1, f.5, g, h, k
to n, p.2, p.4, or r), 3B002 (except
3B002.c), 3B611, 3B903, 3B991 (except
3B991.b.2.a through 3B991.b.2.b),
3B992, 3B993, or 3B994. Second, under
§ 744.11(a)(2)(v)(A)(4)(ii), a license is
required for transfers by an entity whose
ultimate parent company is
headquartered in a country described in
supplement no. 4 to part 742 and the
entity is transferring a commodity
specified in ECCN 3B993. With respect
to the scope of the license requirements
under § 744.11(a)(2)(v)(A)(4), note that
under the definition of transfer (incountry) in § 734.16, an in-country
transfer is ‘‘a change in end use or end
user of an item within the same foreign
country.’’ Thus, if a tool has already
been exported to a warehouse and will
later be moved to and installed in a
production facility that was the
intended end user at the time of the
export, the movement from the
warehouse to the production facility
within the same country is not an incountry transfer and would not be
PO 00000
Frm 00007
Fmt 4701
Sfmt 4700
96795
subject to the license requirements
under § 744.11(a)(2)(v)(A)(4).
ii. New SME FDP as Well as
Amendments to the National Security
and Regional Stability Controls
The license requirements for the new
SME FDP in § 734.9(k) are in
§§ 742.4(a)(4) and 742.6(a)(6)(i)
(national security (NS) and regional
stability (RS) controls, respectively),
which correspond to the reasons for
control for the commodities that may be
subject to the EAR under the SME FDP.
The license requirements are identical
in §§ 742.4(a)(4) and 742.6(a)(6)(i).
Changes to each of those provisions is
discussed below.
This rule updates the NS controls in
§ 742.4(a)(4) to designate the regulations
at § 742.4(a)(4)(i) as the scope of the
license requirement and § 742.4(a)(4)(ii)
for exclusions to the license
requirement. Under § 742.4(a)(4)(i), the
current license requirement remains for
exports, reexports, or transfers (incountry) to or within either Macau or a
destination specified in Country Group
D:5 of items specified in 3B001.a.4, c, d,
f.1, f.5, k to n, p.2, p.4, r, 3B002.c and
related ‘‘software’’ and ‘‘technology.’’
Similarly, for RS controls, this rule
also updates § 742.6(a)(6)(i) to describe
the scope of license requirements in
§ 742.6(a)(6)(i)(A)(1) and exclusions
from the license requirement in
§ 742.6(a)(6)(i)(A)(2). Under
§ 742.6(a)(6)(i)(A)(1), the current license
requirement remains for exports,
reexports, or transfers (in-country) to or
within Macau or a destination specified
in Country Group D:5.
The NS and RS sections also have
identical exclusion paragraphs. The
existing exclusion from license
requirements for deemed exports or
deemed reexports for both sets of
controls is moved to new
§§ 742.4(a)(4)(ii) and 742.6(a)(6)(i)(A)(2),
respectively. Under those provisions,
commodities subject to the EAR under
§§ 734.4(a)(8) or the SME FDP do not
require a license if the commodity is
reexported or exported from abroad by
an entity located in a country specified
in supplement no. 4 to part 742, and the
entity is not headquartered or have an
ultimate parent company headquartered
in Macau or a destination specified in
Country Group D:5. Also, subject to the
EAR under §§ 734.4(a)(8) or the SME
FDP do not require a license if the
commodity is reexported or exported
from abroad by an entity located in a
country that has implemented
equivalent controls for items specified
in paragraph (a)(4)(i) of this section, and
the entity is not headquartered or have
an ultimate parent company
E:\FR\FM\05DER2.SGM
05DER2
96796
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
headquartered in Macau or a destination
specified in Country Group D:5.
For license requirements for incountry transfers, note that under the
definition of transfer (in-country) in
§ 734.16, an in-country transfer is ‘‘a
change in end use or end user of an item
within the same foreign country.’’ Thus,
if a tool has already been exported to a
warehouse and will later be moved to
and installed in a production facility
that was the intended end user at the
time of the export, the movement from
the warehouse to the production facility
within the same country is not an incountry transfer and would not be
subject to the license requirements in
§§ 742.4(a)(4)(i) and 742.6(a)(6)(i)(A)(1).
iii. License Review Policy
Lastly, this IFR adds
§ 744.11(a)(2)(v)(B) (License review
policy) to specify the license review
policy for each entity with a Footnote 5
designation in the Entity List in
supplement no. 4 to part 744. Unless
specified otherwise in the license
review policy column of the applicable
entity with a Footnote 5 designation,
there is a case-by-case license review
policy for items subject to the license
requirements of this section where there
is a foreign-made item that is not subject
to the license requirements of this
section and performs the same function
as an item subject to the EAR license
requirements of this section.
B. Additional Revisions Related to the
Production of Semiconductors and
Other Conforming Changes
khammond on DSK9W7S144PROD with RULES2
1. Addition of New De Minimis
Provisions Corresponding to the FN5
and SME FDP Rules and a Conforming
Change
To address the national security and
foreign policy concerns described above
regarding the production of ‘‘advancednode ICs,’’ this interim final rule adds
new de minimis provisions in
§§ 734.4(a)(8) and 734.4(a)(9) of the
EAR. Section 734.4(a)(8) specifies that
there is no de minimis threshold level
of U.S. controlled content for
commodities specified in ECCNs
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4,
r, or 3B002.c when the commodity is
incorporated in a U.S.-origin IC or
contains a U.S.-origin IC specified under
Category 3, 4, or 5 of the CCL, and the
commodity is destined for Macau or a
destination specified in Country Group
D:5, unless excluded from the national
security license requirement in
§ 742.4(a)(4) or the regional stability
license requirement in § 742.6(a)(6) of
the EAR.
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
Section 734.4(a)(9) specifies that there
is no de minimis level for an item
meeting the parameters in ECCNs
specified in Category 3B (except
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4,
r, or 3B002.c) of the CCL in supplement
no. 1 to part 774 of the EAR, when the
commodity is incorporated in a U.S.origin integrated circuit or contains a
U.S.-origin integrated circuit specified
under Category 3, 4, or 5 of the CCL, and
the commodity is destined for an entity
with a Footnote 5 designation in the
license requirement column of the
Entity List in supplement no. 4 to part
744 of the EAR.
These new provisions ensure that
foreign-produced SME containing U.S.origin ICs (or other components) are
controlled to the same extent as foreignproduced SME containing items
controlled by the SME FDP rule and the
FN5 FDP rule.
This IFR makes a conforming change
to § 734.4(a)(3) by replacing ECCN
3B001.f.1.b.2.b with 3B993.f.1 because
this is the new location of the control.
2. Addition of New License Exception
Restricted Fabrication Facility (RFF)
The new License Exception RFF will
allow certain items, including specified
SME, to be exported, reexported,
exported from abroad, or transferred (incountry) to certain fabrication facilities
that are subject to end user-based
license requirements but that are not
currently producing ‘‘advanced node
ICs.’’ This license exception is available
for these fabrication facilities to obtain
legacy equipment and related items to
produce non-‘‘advanced node ICs’’
through a framework that establishes
guardrails and monitoring to address
U.S. national security concerns. This
includes terms and conditions on the
use of License Exception RFF, such as
excluding from eligibility items
essential for producing ‘‘advanced-node
ICs,’’ as well as requiring pre-shipment
notifications, end-use monitoring, and
annual reporting. The license exception
also has restrictions related to the
operation, installation, maintenance,
repair, overhaul, or refurbishing of items
ineligible under RFF that may already
be part of the entity’s installed base. BIS
believes that these restrictions, along
with existing countrywide license
requirements for SME and related items
that cannot be overcome by RFF, will
further U.S. national security and
foreign policy objectives. Under the
concurrently published EL rule, one
entity is eligible for this license
exception.
License Exception RFF, found in new
§ 740.26, only overcomes license
requirements in the license
PO 00000
Frm 00008
Fmt 4701
Sfmt 4700
requirements column of a specific entity
when § 740.26 is referenced in that
Entity List entry. This license exception
does not overcome destination-based
license requirements, end-use based
license requirements in other sections of
part 744, or license requirements that
apply to other entities on the Entity List
if other listed entities are a party to the
transaction. License Exception RFF
authorizes the export, reexport, export
from abroad, and transfer (in-country) of
items not specified in ECCN 3B001,
3B002, 3B993, 3B994, 3D992, 3D993,
3D994, 3E992, 3E993, or 3E994. For
commodities exported, reexported, or
transferred prior to December 5, 2024.
License Exception RFF may not be used
for the operation, installation,
maintenance, repair, overhaul, or
refurbishing of commodities specified in
ECCN 3B001.a.4, c, d, f.1, f.5, k to n, p.2,
p.4, r, or 3B002.c, or 3B993, or 3B994.
Also, any item exported, exported from
abroad, reexported, or transferred under
License Exception RFF may not be used
to produce ‘‘advanced-node ICs.’’ There
is also a notification that must be sent
to BIS 45 days prior to exporting,
reexporting, exporting from abroad, or
transferring (in-country) that includes
the end-user’s name and address,
description of item(s), purchase price,
and anticipated shipping date of export,
reexport, or transfer (in-country). These
changes are expected to result in an
increase of 306 prior notifications under
§ 740.26(d)(1) to BIS under License
Exception RFF.
In addition, a notification must be
sent to BIS within one business day of
gaining ‘‘knowledge’’ that the end use
has changed to ‘‘advance-node IC’’
‘‘production.’’ These changes are
expected to not result in an annual
increase of ‘‘one-business day’’
notifications under § 740.26(d)(2) to BIS
under License Exception RFF or a
minimal number of one business day
notifications, provided the other terms
and conditions of License Exception
RFF are being followed.
There is also a reporting requirement
for installation of SME that must be sent
to BIS within 30 days of installation.
These changes are expected to result in
an annual increase of 306 installation
reports under § 740.26(e)(1) to BIS
under License Exception RFF.
In addition, there is an annual enduse confirmation report that must be
sent to BIS by the exporter, reexporter,
or transferor that confirms that the
installed equipment is not being used in
the productions of ‘‘advanced-node
ICs.’’ These changes are expected to
result in an annual increase of 102
installation reports under § 740.26(e)(2)
to BIS under License Exception RFF.
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
khammond on DSK9W7S144PROD with RULES2
As a conforming change for the
addition of License Exception RFF, in
§ 762.2 (Records to be retained) this IFR
revises paragraph (b) (Records retention
references) to add a new paragraph
(b)(57) to reference § 740.26, License
Exception RFF as a new records
retention reference. This change is a
conforming change with the addition of
License Exception RFF and the new
notification and reporting requirements
under § 740.26(d) and (e), respectively,
which are considered ‘‘export control
records’’ for purposes of the EAR and
must be kept in accordance with the
requirements of part 762 of the EAR.
3. Addition of Eight New Red Flags To
Assist With Compliance
In supplement no. 3 to part 732 (BIS’s
‘‘Know Your Customer’’ Guidance and
Red Flags), this IFR adds eight new Red
Flags under paragraphs (b)(20) through
(27) that are intended to provide
additional compliance guidance to
assist exporters, reexporters, and
transferors as part of their compliance
programs. These eight new Red Flags
are in addition to the five Red Flags that
were added by the AC/S IFR. As
specified in the ‘‘Know Your Customer’’
Guidance and Red Flags, various
requirements of the EAR are dependent
upon a person’s knowledge of the end
use, end user, ultimate destination, or
other facts relating to a transaction or
activity. BIS provides the ‘‘Know Your
Customer’’ Guidance and Red Flags to
advise how individuals and firms
should act under this knowledge
standard.
New Red Flag 20 identifies a scenario
where a non-advanced fabrication
facility orders equipment designed for
‘‘advanced-node IC’’ production (e.g.,
§ 742.4(a)(4) ECCNs). This scenario
raises a Red Flag because this
technology mismatch indicates the
fabrication facility produces or intends
to produce ‘‘advanced-node ICs.’’ The
exporter, reexporter, or transferor must
resolve this Red Flag before proceeding
with the transaction.
New Red Flag 21 identifies a scenario
where an exporter, reexporter, or
transferor receives an order for which
the ultimate owner or user of the items
is uncertain, such as a request to ship
equipment for the ‘‘development’’ or
‘‘production’’ of ICs to a distributor
without a manufacturing operation,
when the item is ordinarily customized
for the end user or installed by the
supplier. Under this scenario the
distributor would never be the end user
of such equipment, which means that
the ultimate owner or beneficiary is
unknown to the exporter, reexporter, or
transferor. This uncertainty raises a Red
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
Flag that needs to be resolved before the
exporter, reexporter, or transferor
proceeds with the transaction. New Red
Flag 21 specifies this uncertainty
requires the need for due diligence
particularly for items where such
information would typically be known
to an exporter, reexporter, or transferor,
such as for advanced computing items,
supercomputers, or SME.
New Red Flag 22 identifies a scenario
where an order or request related to an
item that would require an export,
reexport, or in-country transfer license
from BIS or another jurisdiction (i.e.,
from another foreign government) that
maintains controls on the item and the
exporter, reexporter, or transferor has
uncertainty about the license history for
the item—meaning the exporter,
reexporter, or transferor is not sure
whether the export, reexport, or transfer
(in-country) was properly authorized in
accordance with the EAR and/or by the
respective foreign government. New Red
Flag 22 provides an example where
there is information known to the
exporter, reexporter, or transferor
indicating that a license was not, or was
not likely to have been, obtained by the
end user, such as where the end user or
end use, or the ECCN and end-user
destination triggers a license review
policy of a presumption of denial. New
Red Flag 22 specifies that these
uncertainties raise a Red Flag that needs
to be resolved before the exporter,
reexporter, or transferor proceeds with
further transactions related to the item
to avoid the risk of violating § 764.2(e),
which includes acting on requests to
service, install, upgrade, or otherwise
support the item of concern.
New Red Flag 23 identifies a scenario
where an exporter, reexporter, or
transferor receives a request to service,
install, upgrade, or otherwise maintain
an item that was altered after export by
a third-party for a more advanced end
use that would normally require a
license for the destination. New Red
Flag 23 specifies that this scenario
would raise a Red Flag that the item is
employed in a prohibited end use that
would need to be resolved before
proceeding further with the transaction.
New Red Flag 24 identifies another
scenario related to a request for an item
or service from a new customer whose
senior management or technical
leadership (e.g., process engineers that
are team leaders or otherwise leading
development or production activities)
overlaps with an entity on the Entity
List, particularly if the supplier
previously provided the same or
substantially similar item or service to
the Entity List entity, most likely prior
to the listed entity being added to the
PO 00000
Frm 00009
Fmt 4701
Sfmt 4700
96797
Entity List. New Red Flag 24 specifies
that this scenario would raise a Red Flag
that the entity requesting the item or
service is engaged in or supporting the
same prohibited end use as the Entity
List entity. The exporter, reexporter, or
transferor would need to conduct
additional due diligence before
proceeding with the transaction with
the new customer.
New Red Flag 25 identifies another
scenario related to servicing a request
from a new customer for an item or
service that was designed or modified
for an existing or former customer that
is now designated on the Entity List.
New Red Flag 25 specifies that this
scenario would raise a Red Flag that the
new customer has assumed the
operations for which the item or service
is still needed to engage in or support
the same prohibited end use for which
the Entity List entity was listed. This
Red Flag would need to be resolved by
the exporter, reexporter, or transferor
before proceeding.
New Red Flag 26 provides additional
guidance that will assist exporters,
reexporters, and transferors in
complying with the two new FDP rules
added to the EAR in this IFR. See
section III.A of this preamble for a
description of the two new FDP rules
related to the production of ‘‘advancednode ICs’’ implemented by this IFR. Red
flag 26 specifies that when analyzing the
scope of the Entity List FDP rules for
Footnote 5 entities, if a foreignproduced item is described in the
relevant Category 3B ECCN in
§§ 734.9(e)(3)(i) or 734.9(k)(1) and
contains at least one IC, then there is a
Red Flag that the foreign-produced item
meets the product scope of the
applicable FDP rule and the exporter,
reexporter, or transferor must resolve
the Red Flag before proceeding. Key
components, including ICs, are key to
the functioning of SME, and such
components are dependent on U.S.
technology and software as well as on
tools derived from U.S. technology and
software. BIS has concluded, based on
assessments of supply chain data, that
there is a significant prevalence of
certain types of U.S.-origin tools (or
foreign-produced tools that are the
direct product of U.S.-origin technology
or software) in fabrication facilities for
the production of ICs. As with all Red
Flags, exporters, reexporters, and incountry transferors will need to conduct
due diligence before continuing with
the transaction. Such additional
diligence is necessary in order to ensure
that items produced with the use of U.S.
technology, software, or tools, including
ICs that are contained in the specified
foreign-produced commodities being
E:\FR\FM\05DER2.SGM
05DER2
96798
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
khammond on DSK9W7S144PROD with RULES2
controlled, are not sent to end users
contrary to U.S. national security and
foreign policy interests.
New Red Flag 27 identifies a scenario
where the end user is a ‘‘facility’’ that
is physically connected to a ‘‘facility’’
where ‘‘production’’ of ‘‘advanced-node
ICs’’ occurs. Each building is considered
a separate ‘‘facility,’’ but if multiple
buildings are connected in such a way
that allows for the ‘‘production’’ of the
integrated circuits across the physically
connected buildings, this raises a Red
Flag that ‘‘production’’ of ‘‘advancednode ICs’’ occurs in each one of the
connected facilities. The Note to
Number 27 states that, for example, if an
exporter, reexporter, or transferor
receives an equipment order from a
company that is engaged in
‘‘production’’ of non-‘‘advanced-node
ICs’’ in one building, and this building
is physically connected to another
building where the ‘‘production’’ of
‘‘advanced-node ICs’’ occurs, then both
buildings would be subject to the
controls under § 744.23 of the EAR,
unless the exporter can demonstrate that
the destination facility is not engaged in
the ‘‘production’’ of ‘‘advanced-node
ICs.’’ The Note to Number 27 provides
that to resolve the red flag, the exporter
should submit an advisory opinion to
BIS. Otherwise, the two buildings are
treated as a single ‘‘facility’’ for
purposes of license requirements under
§ 744.23 of the EAR.
4. Revisions to the Definition of
‘‘Advanced-Node Integrated Circuit’’
Related to DRAM
In § 772.1 (Definitions of terms as
used in the Export Administration
Regulations (EAR)), this IFR revises the
definition of ‘‘Advanced-Node
Integrated Circuits (Advanced-Node
IC)’’ by revising the criteria for how
DRAM ICs is defined. In paragraph 3 of
the definition, this IFR removes the
criterion using a ‘‘production’’
‘technology node’ of ‘18 nanometer half
pitch or less’ and adds in its place two
different criteria. When either of these
two criteria are met, the commodity
would be considered a DRAM integrated
circuit for purposes of this definition.
Specifically, this IFR specifies that
DRAM integrated circuits meet the
definition of ‘‘Advanced-Node
Integrated Circuits (Advanced-Node
IC)’’ when the integrated circuit either
has a memory cell area of less than
0.0019 square micrometers (mm2); or a
memory density greater than 0.288
gigabits per square mm.
For additional context on the reason
for this change, in parts 734 and 744 of
the EAR, ‘‘advanced-node ICs’’ include
logic, DRAM, and NAND ICs that meet
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
certain technical parameters. This IFR
updates the technical parameters
defining advanced-node DRAM ICs. The
previous definition used half-pitch to
characterize advanced-node DRAM ICs.
However, that definition allowed
fabrication facilities to make substantial
improvements in memory density by
using more compact memory cell
architectures, as well as by stacking
DRAM in three dimensions, without
meeting the definition, thereby avoiding
controls. Furthermore, the previous
definition did not capture HBM, which
is crucial to frontier AI training and
inference and is typically co-packaged
with advanced logic chips for datacenter
AI and supercomputing.
The definition in this IFR uses a
memory density and memory cell area
standard that captures both advances in
memory cell miniaturization as well as
HBM and other memory devices that
stack layers of DRAM vertically to
achieve greater densities without
reducing half-pitch. A technical note
also defines the cell area. The intent of
this change in the definition of
advanced-node DRAM ICs is not to
change the current impact of the enduser controls, but to prevent possible
future workarounds, especially the
production of high bandwidth memory
for advanced computing ICs. As a
conforming change, the reference to
paragraph three is removed from Note 1
to the definition.
This IFR also removes the Technical
Note to the definition, as it is no longer
needed because of the other clarifying
changes made to this definition, in
particular the addition of new Note 2 to
the definition of ‘‘Advanced-Node
Integrated Circuits.’’ This IFR adds a
new Note 2 to the definition of
‘‘Advanced-Node Integrated Circuits
(Advanced-Node IC)’’ to specify that
memory density is measured in
gigabytes (GB) of memory capacity of
the package or stack, divided by the
footprint of the package or stack
measured in square millimeters. Note 2
also clarifies that in the case where a
stack is contained in a package, the area
of the package should be used in the
classification. Finally, Note 2 specifies
that cell area is defined as
Wordline*Bitline (which takes into
consideration both transistor and
capacitor dimensions).
5. Clarifications to Section 744.23
(i) Revisions To Address Support for
‘‘Development’’ and ‘‘Production’’ of
Advanced-Node ICs
This IFR adds new paragraph
(a)(2)(iii) in § 744.23 to clarify that
§ 744.23(a)(2) restricts the provision of
PO 00000
Frm 00010
Fmt 4701
Sfmt 4700
Electronic Computer Aided Design
(ECAD) and Technology Computer
Aided Design (TCAD) ‘‘software’’ and
‘‘technology’’ subject to the EAR when
you ‘‘know’’ it will be used in the
design of ‘‘advanced-node IC’’ whose
subsequent ‘‘production’’ will be in
Macau or a destination in Country
Group D:5.
This IFR also adds new paragraph
(a)(2)(iv) in § 744.23 to add an
‘‘advanced node IC’’ exclusion to
specify the license requirements for
items specified in paragraphs (a)(2)(i)
and (ii) destined to entities designated
with a Footnote 5 are not subject to the
license requirements in this section.
This IFR adds this exclusion because
the Entity List license requirements for
these Footnote 5 entities will already
impose a license requirement for these
items, so the additional license
requirement under § 744.23(a)(2)(i) and
(ii) is not needed to protect U.S.
national security and foreign policy
interests for these entities.
(ii) Revisions To Address Support for
Indigenization of Semiconductor
Manufacturing Supply Chains
BIS continues to evaluate public
comments received on the AC/S IFR, the
SME IFR, and the April 4 IFR. BIS
intends to address those comments in a
future rulemaking. In the meantime, in
this IFR, BIS is revising § 744.23(a)(4) to
address several specific questions and
concerns about the application of
§ 744.23(a)(4)(ii)(B), added in the April
4 IFR, to both the initial and
intermediate ‘‘development’’ or
‘‘production’’ of Category 3B SME
specified in § 744.23(a)(4). Specifically,
BIS is revising § 744.23(a)(4)(ii) to make
clear that the end-use control may apply
to any item subject to the EAR and
specified on the CCL when: (1) the item
(either in its original form or as
subsequently incorporated into a
foreign-made item) is for the
‘‘development’’ or ‘‘production’’ of any
foreign-made item specified in the enduse control; and (2) the ‘‘development’’
or ‘‘production’’ is by an entity
headquartered in or whose ultimate
parent is headquartered in Macau or a
destination specified in Country Group
D:5. Because of this clarification to
paragraph (a)(4)(ii), paragraph (B) is no
longer needed, so this IFR removes
paragraph (a)(4)(ii)(B) and redesignates
paragraph (a)(4)(ii)(C) as paragraph (B).
BIS is also adding the parenthetical
phrase ‘‘(and not excepted by that
paragraph)’’ to paragraph (A) of
§ 744.23(a)(4)(ii)(A) to clarify that the
exclusions (e.g., for masks) to the ECCNs
listed in § 744.23(a)(4)(i) also apply in
the controls under § 744.23(a)(4)(ii).
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
Further, BIS is eliminating the
qualification for ‘front-end integrated
circuit ‘‘production’’ equipment’ in
current Note 1 to § 744.23(a)(4). BIS
believes this approach will simplify
compliance with the end-use control by
eliminating questions about whether an
end-use involves ‘‘development’’ or
‘‘production’’ of a ‘front-end’ versus
‘back-end’ item, and it will reduce the
risk that items subject to the EAR are
diverted from purported ‘‘development’’
or ‘‘production’’ of back-end items to
front-end items specified in the same
ECCNs. As noted in the SME IFR, BIS
continues to welcome feedback from
industry on specific ECCNs that are not
used in front-end production of ICs and
could be excluded from the ECCN scope
of § 744.23(a)(4).
BIS is also adding ECCN 3B903 to the
list of qualifying ECCNs to reflect
updates to Category 3B under the IFR,
‘‘Commerce Control List Additions and
Revisions; Implementation of Controls
on Advanced Technologies Consistent
with Controls Implemented by
International Partners’’ (89 FR 72926,
September 6, 2024).
SME specified in ECCNs 3B903,
3B992, 3B993, and 3B994 are added to
the end-use license requirement in
§ 744.23(a)(4)(i). Accordingly, a license
is now required to export, reexport,
transfer (in-country) any item subject to
the EAR and specified on the CCL when
destined to or within either Macau or a
destination specified in Country Group
D:5 for the ‘‘development’’ or
‘‘production’’ of ‘‘equipment,’’
‘‘components,’’ ‘‘assemblies,’’ or
‘‘accessories’’ specified in ECCNs
3B903, 3B992, 3B993, and 3B994.
Additional changes to § 744.23 are
discussed in section III.C.2 of the
preamble.
khammond on DSK9W7S144PROD with RULES2
6. Conforming and Clarifying Revisions
to General Prohibition Three
In § 736.2 (General prohibitions and
determination of applicability), this IFR
revises paragraph (b)(3)(i) (General
Prohibition Three—Foreign-direct
product (FDP) rules), to clarify that the
prohibition extends to any foreignproduced items that are subject to the
EAR pursuant to § 734.9 if such items
are subject to a license requirement in
part 736, 742, 744, 746, or 764 of the
EAR. The existing Entity List FDP rules
under § 734.9(e)(1) and (2) already
extend to foreign-‘‘direct products’’ and
certain other foreign-produced items,
and the new FN5 FDP will do the same.
This revision to § 736.2(b)(3)(i) is a
conforming and clarifying change.
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
7. Revision to General Order No. 4
Temporary General License
The Temporary General License (TGL)
in supplement no. 1 to part 736, General
Order no. 4, is amended by adding
newly added SME ECCNs (i.e.,
3B001.c.4, 3B993.b.1, c.2, c.3, d.4, f.2,
f.3, o.2, q.1, q.2, 3B994, 3D993.a (for
commodities specified in paragraph
(d)(1)(i)(B)), 3D993.b through .d, 3D994,
3E993.a (for commodities specified in
paragraph (d)(1)(i)(B)), 3E993.b, and
3E994) to this authorization in
paragraph (d)(1)(i). Paragraph (d)(2)(ii)
is revised by adding 3A090.c when
destined to or within Macau or a
destination in Country Group D:5 and
cascading the paragraph into three
separate paragraphs (d)(2)(ii)(A)(1)
through (3). See Section C.2 for more
details regarding the addition of
3A090.c (high bandwidth memory). In
addition, a new paragraph (d)(2)(ii)(B) is
added to add an additional ultimate end
use for 3A090.c. This IFR also revises
the paragraph (d)(3) validity date to
extend it as follows: (1) for SME items
controlled only for AT reasons, until
December 31, 2026; (2) for SME items
added to the CCL in this rule (i.e.,
excluding items that were moved from
3B001 to 3B993, but not previously
eligible for paragraph (d)(1)) until
December 31, 2026; (3) for items
specified in paragraph (d)(2)(i)(A), until
December 2025; and (4) for HBM items
specified in paragraph (d)(2)(i)(B), until
December 2026. In order to not disrupt
IC supply chains and from discussions
with industry, BIS is extending the
validity period for AT only items for
one additional year (December 31,
2026). BIS is setting the validity period
for SME items added to the CCL to one
year (December 31, 2026). BIS is not
extending the validity deadline for
advanced compute items because it is
no longer needed because of new
licenses and new additions to the VEU
for these companies. The validity for the
new HBM items is set at one year
(December 31, 2026) to allow for
adjustment to the new controls.
8. Revisions to ‘‘U.S. Persons’’
Restrictions in § 744.6
This IFR revises paragraph (c)(2)(iii)
of § 744.6 to synchronize the product
scope with the license requirement
product scope in §§ 742.4(a)(4) and
742.6(a)(6) of the EAR.
9. Revisions to § 770.2
This IFR revises § 770.2 to make
conforming changes to paragraph
(o)(2)(i) by adding ECCNs 3D992,
3D993, 3E992, and 3E993.
PO 00000
Frm 00011
Fmt 4701
Sfmt 4700
96799
10. Conforming Changes to Foreign
Direct Product Rules
This IFR adds ECCNs 3D901, 3D992,
3D993, 3D994, 3E901, 3E992, 3E993,
and 3E994 to the following foreign
direct product rules in § 734.9: Entity
List FDP: Footnote 1 (§ 734.9(e)(1)(i)(A)
and (B)), Entity List FDP: Footnote 4
(§ 734.9(e)(2)(i)(A) and (B)), Advanced
Computing FDP rule (§ 734.9(h)(1)(i)(A)
introductory text, (h)(1)(ii)(A)),
Supercomputer FDP rule § 734.9(i)(1)(i)
and (ii)).
11. Conforming Changes to Section
742.4
This IFR corrects a paragraph
designation in § 742.4 under paragraph
(a)(5) to redesignate the second
reference to paragraph (a)(5)(ii)(B)(i) and
(ii) as paragraphs (a)(5)(ii)(B)(1) and (2)
as intended. This IFR does not change
the first reference to paragraph (a)(5)(ii)
in § 742.4, which is correct.
C. Addition of High Bandwidth Memory
(HBM) Controls
1. Addition of New 3A090.c
Control of advanced memory chips is
key to national security because of their
military, intelligence, and surveillance
applications. In particular, advanced AI
models rely on a type of advanced
memory called HBM, which is found in
almost all advanced computing ICs
destined for advanced AI data centers.
As the speed of advanced logic
increases, a similar increase in memory
capacity and bandwidth is required;
otherwise, the full capabilities of the
processor cannot be realized. In
advanced AI and supercomputing,
advanced logic chips must be paired
with advanced memory to avoid this
memory bottleneck. As such, HBM is
critical to both AI training and inference
at scale and a key component of
advanced computing ICs.
Based on the importance of HBM
described above, BIS is adding a new
ECCN control on HBM stacks with a
specific memory bandwidth density to
ECCN 3A090.c. HBM units are
optimized for very high memory
bandwidth, unlike general consumergrade dynamic random access memory
(DRAM) chips, so this threshold will
narrowly target controls at HBM. BIS
uses the bandwidth density—rather
than just the bandwidth—to ensure
controls will still apply if an IC uses a
larger quantity of smaller HBM chips at
little additional cost. As indigenous PRC
advanced computing ICs rely upon
imported HBM, new ECCN 3A090.c
implements restrictions to slow PRC
attempts to indigenize advanced AI chip
production, which, as explained above,
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
96800
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
raises national security and foreign
policy concerns.
Under ECCN 3A090, this IFR adds a
new items paragraph .c to impose a new
CCL-based control for certain HBM
commodities. Also, under the advanced
computing FDP rule in § 734.9(h),
foreign-produced 3A090.c items will be
subject to the EAR if they meet the
scope of that FDP rule. Under new
3A090.c, this IFR will control HBM
having a ‘memory bandwidth density’
greater than 2 GB per second per square
millimeter (mm). All HBM stacks
currently in production exceed this
threshold.
This IFR also adds a technical note to
3A090.c to define for purposes of this
ECCN that ‘memory bandwidth density’
is the memory bandwidth of the package
or stack measured in GB per second
divided by the area of the package or
stack measured in square mm. The new
technical note to 3A090.c includes a
sentence to clarify that where a stack is
contained in a package, the item should
be classified using the memory
bandwidth of the packaged device and
the area of the package. The new
technical note to 3A090.c also includes
a sentence highlighting that high
bandwidth memory includes dynamic
random access memory integrated
circuits, regardless of whether they
conform to the JEDEC standards for high
bandwidth memory, provided they have
a ‘memory bandwidth density’ greater
than 2 GB per second per square mm.
Lastly, technical note to 3A090.c
specifies that certain co-packaged
integrated circuits are excluded from the
scope of 3A090.c, as this control does
not cover co-packaged integrated
circuits with both HBM and logic,
where the dominant function of the copackaged integrated circuit is
processing. The technical note further
clarifies that this control does include
HBM permanently affixed to a logic
integrated circuit designed as a control
interface and incorporating a physical
layer (PHY) function. Advanced
computing ICs containing co-packaged
logic and HBM are not controlled by
3A090.c, though they may be controlled
by other ECCNs such as 3A090.a or
3A090.b depending on their Total
Processing Performance (TPP) and
performance density.
This IFR imposes these new controls
on HBM stacks under ECCN 3A090.c
because these commodities are an
important part of the ‘‘production’’
process for making advanced computing
ICs, which prior to this IFR were not
being controlled under ECCN 3A090. In
order to more effectively address the
national security and foreign policy
concerns which are being addressed
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
under ECCN 3A090, BIS added a control
on HBM to prevent the PRC, as well as
other destinations of concern, from
producing advanced computing ICs
incorporating HBM.
If these HBM stacks are incorporated
into an IC or a higher-level commodity,
such as a computer or electronic
assembly, then ECCN 3A090.a, .b,
4A090.a or .b, or the respective .z
controls may impose controls on the
commodities containing HBM. The
national security and foreign policy
concerns are focused on HBM, as
covered under 3A090.c, that is exported
as a stand-alone commodity (i.e., when
not incorporated into a higher-level
commodity). When a 3A090.c
commodity is incorporated into another
commodity, such as a 3A090.a, .b, or
another commodity, the EAR controls
applicable to those other commodities
are sufficient to address the export
control concerns with these 3A090.c
commodities.
This IFR also redesignates and revises
Note 2 to 3A090 as new Note 1 to
3A090.a and 3A090.b, including adding
references to 3A090.a and 3A090.b in
the note and repositioning the note, so
it appears immediately after 3A090.a
and .b. This IFR also redesignates Note
1 to 3A090 as Note 2 to 3A090 to reflect
the reordering of the notes in ECCN
3A090.
2. Conforming Changes for Addition of
3A090.c
This IFR also makes the following
eight conforming changes to other parts
of the EAR to address the addition of
3A090.c:
In supplement no. 1 to part 736—
General Orders, this IFR revises General
Order No. 4 under paragraph (d)(2)
(TGL—Advanced computing items) to
redesignate the introductory text of
(d)(2)(ii) (End-use scope), except for the
heading that is added by this rule, as
new paragraph (d)(2)(ii)(A) (For all
items under paragraph (d)(2)(i)). This
IFR also adds a new paragraph
(d)(2)(ii)(B) (Additional permitted
ultimate end use for 3A090.c.) to clarify
the application of the ultimate end use
requirement for 3A090.c commodities
that are authorized under the TGL. As
described in new paragraph (d)(2)(ii)(A),
for other items, the TGL requires the
ultimate end use of these other items to
be outside of destinations specified in
Country Groups D:1, D:4, or D:5 (and
not specified in Country Groups A:5 or
A:6) by entities not headquartered in or
whose ultimate parent company is not
headquartered in Macau or a destination
specified in Country Group D:5 in all
cases. The first sentence under new
paragraph (d)(2)(ii)(B) specifies that the
PO 00000
Frm 00012
Fmt 4701
Sfmt 4700
ultimate end use of 3A090.c
commodities allows for use in any
destination once the 3A090.c
commodity is incorporated into another
commodity, provided that higher-level
commodity is not a commodity that is
identified under paragraph (d)(2)(i) of
this General Order No. 4. This sentence
is needed because 3A090.c in certain
cases may be incorporated into
consumer electronics that would not
otherwise be of concern for advanced
AI, so therefore the ultimate end-use
restriction is qualified with the addition
of this sentence. The second sentence
under new paragraph (d)(2)(ii)(B)
specifies that if the higher level
commodity is identified under
paragraph (d)(2)(i), of this General Order
No. 4, then the ultimate end use of these
items is authorized under paragraph
(d)(2)(ii) for destinations other than
those specified in Country Groups D:1,
D:4, or D:5 (and not specified in Country
Groups A:5 or A:6) by entities not
headquartered in or whose ultimate
parent company is not headquartered in
Macau or a destination specified in
Country Group D:5. Lastly, the third
sentence under new paragraph
(d)(2)(ii)(B) clarifies that any subsequent
export, reexport, or transfer (in-country)
of a 3A090.c commodity (regardless of
whether it was incorporated into a
higher-level commodity) would also
need to comply with any other
applicable EAR license requirements
that may be applicable, such as those
based on the classification (including, if
relevant, of the higher-level commodity)
and the end use and parties to the
transaction.
This IFR revises the introductory text
in § 740.8 (Notified Advanced
Computing (NAC) and Advanced
Computing Authorized (ACA)),
paragraph (a) (Eligibility requirements)
to specify that ECCN 3A090.c is not
eligible for License Exception NAC or
ACA. This exclusion is made because of
concerns that HBM classified under
3A090.c could be diverted for
incorporation into other items that
would be of national security and
foreign policy concern for advanced AI
model training applications. However, if
the HBM is incorporated into another
commodity, those concerns are
sufficiently addressed through the
export controls imposed under the EAR
for the higher-level commodity. Based
on the same rationale, this IFR does not
extend the .z controls to items that meet
or exceed the controls parameters under
3A090.c, because if a 3A090.c item is
incorporated into a higher-level
commodity, the classification and
controls for the higher-level commodity
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
applies. Lastly, if a 3A090.c commodity
were incorporated into a commodity
that is eligible for License Exception
NAC or ACA, that higher-level
commodity (e.g., a 3A090.b commodity)
would not be precluded from being
authorized under License Exception
NAC or ACA. See § 770.2 (Item
interpretations) under paragraph (b)
(Interpretation 2: Classification of
‘‘parts’’ of machinery, equipment, or
other items). As an additional
conforming change for this exclusion of
3A090.c from NAC and ACA, this IFR
also revises the NAC/ACA paragraph in
the License Exceptions section of ECCN
3A090 to specify that NAC/ACA is N/
A for 3A090.c.
This IFR makes a third conforming
change in § 742.6 (Regional stability).
Paragraph (a)(6)(i) (Exports, reexports,
transfers (in-country) to or within
Macau or Country Group D:5) is revised
to designate the text in paragraph
(a)(6)(i) as paragraph (a)(6)(i)(A) and add
a new paragraph (a)(6)(i)(B) to set forth
license requirements for high
bandwidth memory (HBM) items
specified in ECCNs 3A090.c, 3D001 (for
3A090.c), and 3E001 (for 3A090.c) when
exported, reexported, or transferred (incountry) to or within Macau or a
destination specified in Country Group
D:5 in supplement no. 1 to part 740 of
the EAR.
This IFR also revises, as a conforming
change, paragraph (a)(6)(iii) (Exports,
reexports, transfers (in-country) to or
within destinations specified in Country
Groups D:1, D:4, and D:5, excluding
destinations also specified in Country
Groups A:5 or A:6) to specify that
3A090.c, 3D001 (for 3A090.c), and
3E001 (for 3A090.c) are excluded from
the license requirements in this
paragraph. These conforming changes
are needed because the license
requirements described in paragraph
(a)(6)(iii) that apply for 3A090 and for
the related software and technology
controls for 3A090 will not apply for
3A090.c, which will have narrower RS
license requirements than the rest of
3A090, as this IFR specifies in
paragraph (a)(6)(i).
This IFR also revises paragraph
(b)(10)(i) to update the reference to
newly designated paragraph (a)(6)(i)(A),
in alignment with the change made to
that paragraph. This IFR redesignates
paragraph (b)(10)(ii) as paragraph
(b)(10)(iii), and new paragraph (b)(10)(ii)
now sets forth the license review policy
for new paragraph (a)(6)(i)(B) (for HBM).
There is a presumption of approval
review policy for license applications
for items specified in paragraph
(a)(6)(i)(B) to or within Macau or a
destination specified in Country Group
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
D:5 in supplement no. 1 to part 740 of
the EAR for entities neither
headquartered in, nor whose ultimate
parent company is headquartered in,
either Macau or a destination specified
in Country Group D:5. There is a
presumption of denial policy for all
other license applications.
This IFR also revises former
paragraph (b)(10)(ii) (License review
policy for paragraph (a)(6)(iii)) to
cascade it into two new paragraphs
(b)(10)(iii)(A) for presumption of
approval policy and paragraph
(b)(10)(iii)(B) for presumption of denial
and case-by-case policy. The
presumption of approval policy in
paragraph (b)(10)(iii)(A) and the
presumption of denial policy in
paragraph (b)(10)(iii)(B) is unchanged by
this IFR. BIS has added a case-by-case
license review policy for paragraphs
(b)(10)(iii)(B)(i) and (ii), which are those
that would qualify for License
Exception NAC in § 740.8 of the EAR.
This IFR makes a fourth and fifth
conforming change in § 744.23
(‘‘Supercomputer,’’ ‘‘advanced-node
ICs,’’ and SME end use controls). This
IFR makes a conforming change to
paragraph (a)(3)(i) to account for the RS
license requirement for 3A090.c items
destined to Macau or destinations
specified in Country Group D:5,
requiring a different destination scope
for the end-use control under
§ 744.23(a)(3)(i) compared to the other
items referenced in this paragraph. This
IFR addresses this needed end-use
control conforming change by making
the following changes. In
§ 744.23(a)(3)(i), this IFR adds a heading
to paragraph (i) (ECCNs 3A090, 4A090,
and .z items destined to entities
headquartered in, or whose ultimate
parent company is headquartered in,
either Macau or a destination specified
in Country Group D:5 in certain
destinations) and removes the text from
paragraph (i) and adds that text to new
paragraph (a)(3)(i)(A). BIS also amends
that paragraph by excluding 3A090.c
from the scope of this end-use license
requirement and revising the
parenthetical phrase at the end of the
paragraph to make that example easier
to understand. In another conforming
change related to 3A090.c, this IFR adds
a new paragraph (a)(3)(i)(B) to impose
an end-use license requirement for
ECCN 3A090.c commodities when there
is ‘‘knowledge’’ these commodities are
destined to any destination other than
Macau or those specified in Country
Group D:5, for an entity that is
headquartered in, or whose ultimate
parent company is headquartered in,
either Macau or a destination specified
in Country Group D:5. Lastly, this IFR
PO 00000
Frm 00013
Fmt 4701
Sfmt 4700
96801
adds a parenthetical phrase with an
application example under new
paragraphs (a)(3)(ii) to provide a better
understanding of this provision.
In addition, paragraph (a)(3)(ii)
introductory text and paragraph
(a)(ii)(D) of § 744.23 are revised to add
an exclusion for 3E001 technology for
3A090.c, because the intent of this enduse control for certain 3E001 technology
is focused, for example, on preventing a
PRC company located in PRC from
sending its designs to a logic foundry in
a third country to manufacture 3A090
chips under 3A090.a or .b for the PRC
company. The license review policy for
3A090.c and related ‘‘technology’’ and
‘‘software’’ destined to Macau,
destinations specified in Country Group
D:5, or any entity headquartered in, or
with an ultimate parent headquartered
in, either Macau or a destination
specified in Country Group D:5 will be
consistent with the current policy for
ECCN 3A090 pursuant to § 744.23(d)(1)
of the EAR. Additional changes to
§ 744.23 are discussed in section III.B.5
of this preamble.
This IFR makes a sixth conforming
change related to 3A090.c in § 758.6
(Destination control statement and other
information furnished to consignees).
The last sentence of paragraph (a)(2) is
revised by adding .c to the reference to
3A090.a or .b, so it is clear that, for
tangible exports of ECCN 3A090
commodities, 3A090.c needs to be
identified on the commercial invoice, in
the same way as 3A090.a or .b needs to
be identified on the commercial invoice.
As the seventh and eighth conforming
changes related to 3A090.c, this IFR
revises two ECCNs in the Commerce
Control List, 3D001 and 3E001, for the
related software and technology controls
for 3A090.c to conform with the
revisions to § 742.6 for the RS controls
that are applicable to these related
software and technology ECCNs.
Under ECCN 3D001, this IFR revises
the RS Controls paragraph in the
License Requirements section to
exclude software for 3A090.c from RS
license requirements under
§ 742.6(a)(6)(iii), consistent with the
exclusion of 3A090.c and 3E001
technology for 3A090.c from the scope
of § 742.6(a)(6)(iii). In addition, this IFR
also adds an RS Controls paragraph in
the License Requirements section to
reference § 742.6(a)(6)(i), including
adding 3A090.c to this RS license
requirement because software for
3A090.c is included in the scope of
§ 742.6(a)(6)(i). Previously, this RS
Controls paragraph that references
§ 742.6(a)(6)(i) was not included in the
License Requirements section. To
conform with the RS license
E:\FR\FM\05DER2.SGM
05DER2
96802
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
khammond on DSK9W7S144PROD with RULES2
requirements in § 742.6(a)(6)(i), this IFR
corrects that omission by adding this
intended RS Controls paragraph, along
with including a reference to new ECCN
3A090.c.
Under ECCN 3E001, this IFR revises
the RS Controls paragraph in the
License Requirements section that
references § 742.6(a)(6)(iii), to exclude
3A090.c from this RS license
requirement because 3E001 technology
for 3A090.c is excluded from the scope
of § 742.6(a)(6)(iii). In addition, this IFR
adds a new RS Controls paragraph in
the License Requirements section of
ECCN 3E001 for 3A090.c to impose a RS
license requirement under
§ 742.6(a)(6)(i)(B) for exports, reexports,
and transfers to or within Macau or a
destination specified in Country Group
D:5 of supplement no. 1 to part 740.
3. Addition of New License Exception
HBM for 3A090.c
In part 740 (License Exceptions), this
IFR adds a new License Exception HBM
under § 740.25. This IFR adds License
Exception HBM to authorize certain
exports, reexports, and transfers (incountry) for some of the new HBM
commodities that this IFR adds to ECCN
3A090.c. License Exception HBM
consists of paragraphs (a) through (e),
which specify the terms and conditions
of this new license exception and create
a more efficient authorization for certain
exports, reexports, or transfers (incountry) of these new ECCN 3A090.c
commodities that are in U.S. national
security and foreign policy interests.
This license exception authorizes
exports, reexports, and transfers (incountry) when both: (1) the export,
reexport, or transfer (in-country) is
completed by and to packaging sites
that, even if located within a country of
concern, are owned and operated by
U.S. or allied headquartered companies,
alleviating the national security
concerns about those destinations; and
(2) where the U.S. or allied
headquartered company carefully tracks
the HBM being sent and returned by the
packaging site and resolves
discrepancies or report them to BIS. As
a result, the exception helps ensure that
national security and foreign policy
considerations are addressed without
delaying the ability of U.S. and allied
headquartered companies to continue
operations that do not raise national
security or foreign policy concerns.
Specifically, this IFR adds paragraph
(a) (Scope) to specify that License
Exception HBM authorizes the export,
reexport, or transfer (in-country) of
items specified in ECCN 3A090.c on the
CCL if all terms and conditions within
this section are met.
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
This IFR adds paragraph (b) (Exporter,
reexporter, transferor) to impose
requirements on who may be an
exporter, reexporter or transferor under
License Exception HBM. This IFR
specifies under paragraph (b) that the
exporter, reexporter, or transferor must
be headquartered in the United States or
a destination specified in Country
Group A:5 of supplement no. 1 to part
740, without an ultimate parent
headquartered in Macau or a destination
specified in Country Group D:5 of
supplement no. 1 to part 740. No other
exporter, reexporter, or transferor may
use License Exception HBM.
This IFR adds paragraph (c)
(Conditions) to specify that exports,
reexports, or transfers (in-country) are
authorized under this License Exception
HBM only when the 3A090.c item has
a memory bandwidth density less than
3.3 GB/s/mm∧2. Only HBM at less than
this parameter may be authorized under
License Exception HBM. HBM at equal
to or greater than this parameter
referenced under paragraph (c) are of
greater sensitivity, so those HBM of
greater sensitivity are not eligible for
HBM to ensure U.S. national security
and foreign policy interests are
protected. In order to export, reexport,
or transfer (in-country) such HBM, both
of the conditions that are specified
under paragraphs (c)(1) and (c)(2) must
be met.
New paragraph (c)(1) of License
Exception HBM specifies that the
3A090.c items exported, reexported, or
transferred to or within Macau or a
destination specified in Country Group
D:5 must be directly purchased by the
designer of the co-packaged commodity.
Because of the greater export control
concerns with shipments through
distributors related to diversion, this
condition ensures that the exporter,
reexporter, or transferor must know who
the designer of the co-packaged
commodity is and that the designer is
the entity that the co-packaged
commodity is being exported,
reexported, or transferred (in-country) to
under License Exception HBM.
New paragraph (c)(2) of License
Exception HBM specifies that the
3A090.c items must be shipped directly
to the packaging site, which is included
to prevent diversion. HBM are used at
packaging sites, so this condition is
intended to further ensure that the HBM
are being exported, reexported, or
transferred (in-country) directly to the
end user and not to other parties that
would pose a greater risk of diversion.
Paragraph (c)(2)(i) of License
Exception HBM applies to 3A090.c
items that are destined for packaging at
a U.S.- or Country Group A:5 or A:6-
PO 00000
Frm 00014
Fmt 4701
Sfmt 4700
headquartered packaging site without an
ultimate parent headquartered in Macau
or a destination specified in Country
Group D:5 and meet the additional
conditions this IFR adds under
paragraph (c)(2)(i)(A) and (B). New
paragraph (c)(2)(i)(A) specifies that the
packaging site must confirm in writing
to the producer of the chips that the
3A090.c item was packaged and
exported, reexported, or transferred (incountry) to the specified designer of the
co-packaged commodity. The second
sentence to paragraph (c)(2)(i)(A)
specifies that this confirmation is
considered an ‘‘export control
document’’ and is subject to
recordkeeping requirements in part 762.
New paragraph (c)(2)(i)(B) specifies that
the co-packaged commodities must not
exceed the technical thresholds in
ECCN 3A090, unless packaging the item
is permitted under the TGL specified in
paragraph (d) of General Order No. 4 in
of supplement no. 1 to part 736. This
condition under paragraph (c)(2)(i)(B) is
excluded because there is greater export
control concern if a 3A090.c item is
being incorporated into a 3A090.a or
3A090.b commodity, unless that activity
is within the scope of the TGL.
Paragraph (c)(2)(ii) of License
Exception HBM specifies that if the
3A090.c items are destined for
packaging at any other packaging site,
then the co-packaged commodities must
be sent back to the exporter, reexporter,
or transferor for export, reexport, or
transfer (in-country) to the purchaser
and meet the additional conditions
under new paragraphs (c)(2)(ii)(A) and
(B). New paragraph (c)(2)(ii)(A) specifies
that upon receipt of the co-packaged
commodities, the exporter, reexporter,
or transferor must confirm the number
of 3A090.c units contained within the
co-packaged chips received from the
packaging site matches the number of
3A090.c items exported, reexported, or
transferred (in-country) to the packaging
site. Similar to the text this IFR adds to
paragraph (c)(2)(i)(A), this IFR adds a
second sentence to paragraph
(c)(2)(ii)(A) to specify that this
confirmation is considered an ‘‘export
control document’’ and is subject to
recordkeeping requirements in part 762.
As with all export control records, the
IFR specifies under paragraph
(c)(2)(ii)(A) that a copy of this record
must be provided to BIS upon request.
Paragraph (c)(2)(ii)(B) specifies that the
co-packaged commodity must not
exceed the technical thresholds in
ECCN 3A090.a or 3A090.b. This is
necessary because the logic die copackaged with the HBM may still
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
exceed the TPP or performance density
thresholds in 3A090.a or 3A090.b.
This IFR adds paragraph (d)
(Restrictions) to specify certain exports,
reexports, or transfers (in-country) of
3A090.c items that are not authorized
under this License Exception HBM
when specified under paragraphs (d)(1),
(2), or (3). New paragraph (d)(1)
excludes exports, reexports or transfers
(in-country) to distributors. New
paragraph (d)(2) excludes exports,
reexports, or transfers (in-country) to
intermediate consignees, unless hired
by the packaging site for freight
forwarding or customs clearance. New
paragraph (d)(3) excludes exports,
reexports, or transfers (in-country) to copackaging at a ‘‘facility’’ located in
Macau or a destination specified in
Country Group D:5 where ‘‘production’’
of ‘‘advanced-node ICs’’ occurs. These
three restrictions specified under
paragraphs (d)(1) through (3) are needed
to ensure the exports, reexports, and
transfers (in-country) authorized under
License Exception HBM will not be
diverted contrary to U.S. national
security and foreign policy interests.
This IFR adds paragraph (e)
(Reporting requirement) to specify that
in the event that the exporter,
reexporter, or transferor identifies a
discrepancy of greater than 1 percent
between the number of 3A090.c units
exported, reexported, or transferred (incountry) to the packaging site and the
number of 3A090.c units contained
within the co-packaged commodities
received from the packaging site under
paragraph (c)(2)(A) of this section, this
generates a ‘Red Flag’ that must be
resolved before exporting, reexporting,
or transferring (in-country) the copackaged commodities to the designer
of the co-packaged commodities or
engaging in any further exports,
reexports, or transfers (in-country) of
3A090.c items to the designer of the copackaged commodities or packaging site
involved in the transaction that raised
the Red Flag. The IFR adds a second
sentence to the introductory text of
paragraph (e) to specify that if the Red
Flag cannot be resolved, then within 60
days of identifying the discrepancy, the
exporter, reexporter, or transferor must
report the information in new paragraph
(e)(1) to BIS on the unresolved Red Flag,
following the requirements under
paragraph (e)(2).
New paragraph (e)(1) (Information
required) specifies under paragraphs
(e)(1)(i) through (vi) the information that
needs to be reported to BIS. Specifically,
paragraphs (e)(1)(i) through (e)(vi)
specifies that the following information
must be reported to BIS: (i) the date of
shipment; (ii) the quantity shipped, and
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
quantity returned; (iii) the name of
Consignee or designer of the copackaged commodities; (iv) the name
and address of the packaging site; (v) the
end use; and (6) an explanation of
measures already taken or planned to
resolve the Red Flag.
New paragraph (e)(2) (Submission
requirements) specifies that reports
must be provided in electronic form.
Paragraph (e)(2) specifies that the
recommended file formats for electronic
submission include spreadsheets,
tabular text, or structured text.
Paragraph (e)(2) allows for submitters of
such reports to request other reporting
arrangements with BIS to better reflect
their business models. Lastly, paragraph
(e)(2) specifies that reports are to be sent
electronically to BIS at the email
address: HBMReports@bis.doc.gov with
the email subject line Attn: LE HBM
Discrepancy Reports. These changes are
expected to result annually in an
increase of 10 notifications to BIS under
License Exception HBM.
As a conforming change for the
addition of License Exception HBM, this
IFR revises section 740.2(a)(9)(ii) to add
the phrase ‘‘HBM under the provisions
of § 740.25’’ to specify this is an
additional EAR license exception that
may overcome the general restriction,
even though License Exception HBM is
only available for ECCN 3A090.c. This
IFR also adds a new Note to paragraph
(a)(9)(ii) to provide guidance on why
ECCN 3A090.c is included within the
scope of this paragraph even though the
destination scope referenced in this
paragraph is broader than the
destination scope of license
requirements for 3A090.c. This new
Note specifies under the first sentence
that ECCN 3A090.c requires a license for
exports, reexports, transfers (in-country)
to or within Macau or Country Group
D:5 but is still included within the
scope of this paragraph because it
generally shares the same EAR license
exception eligibility as other 3A090
commodities. The last sentence of this
note specifies that an export, reexport,
or transfer (in-country) of an ECCN
3A090.c commodity to a destination
specified in Country Groups D:1 or D:4
that is not specified in Country Group
D:5, may be made under the No License
Required (NLR) designation, provided
no part 744 or 746 license requirements
are applicable.
As a conforming change for the
addition of License Exception HBM, in
§ 762.2 (Records to be retained) this IFR
revises paragraph (b) (Records retention
references) to add a new paragraph
(b)(56) to reference § 740.25, License
Exception HBM as a new record
retention reference. This change is a
PO 00000
Frm 00015
Fmt 4701
Sfmt 4700
96803
conforming change with the addition of
License Exception HBM and the new
reporting requirement under § 740.25(e),
which is considered an ‘‘export control
record’’ for purposes of the EAR and
must be kept in accordance with the
requirements of part 762 of the EAR.
D. Clarification to the EAR: Software
Keys
This IFR also clarifies the export
controls that apply to the export,
reexport, and transfer (in-country) of
software keys that allow access to the
use of certain software and hardware.
This IFR revises existing § 734.19
(Transfer of access information) by
redesignating the existing introductory
text of the section as new paragraph (a)
and adding a new paragraph (b),
including adding a new Note 2 to
paragraph (b), to describe the export
control treatment of software license
keys.
This clarification adds software keys,
or software license keys, to new
paragraph (b) in § 734.19(b). These keys
allow the use of specific ‘‘software’’
(e.g., to ‘‘unlock’’ the use of the software
or hardware) and software keys that
allow the renewal of existing software
and hardware use licenses, thereby
allowing the use of the ‘‘software’’ or
hardware by the licensed end user.
Prior to this rule, the introductory text
to § 734.19 described the transfer of
‘‘access information’’ only and did not
otherwise address the export control
status of software license keys that
allow access but are not ‘‘access
information’’ as defined in part 772 of
the EAR. In this IFR, BIS is clarifying
the scope of § 734.19 by adding a new
paragraph (b) to specify the EAR
treatment of software keys. To
implement these changes, this IFR
makes the following revisions:
To reflect the clarification of the
intended scope of § 734.19, this IFR
revises the heading of the section to
read as ‘‘Transfer of access information
and export, reexport, and transfer (incountry) of software keys,’’ so that it is
clear that this section applies to both
transfer of access information now
described under paragraph (a) and the
export, reexport, and transfer (incountry) of software keys described
under new paragraph (b) that this IFR
adds to this section.
This IFR redesignates the existing text
of § 734.19 as new paragraph (a). This
IFR as a conforming change redesignates
Note 1 to § 734.19 as new Note 1 to
§ 734.19(a).
New paragraph (b) is added to specify
that software keys, also called software
license keys, which allow users the
ability to use ‘‘software’’ or hardware by
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
96804
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
providing access to it, and software keys
that renew existing ‘‘software’’ or
hardware use licenses, are classified and
controlled under the same ECCNs on the
CCL as the corresponding ‘‘software’’ or
hardware to which they provide access,
or in the case of hardware, the software
key would be classified under the
corresponding ECCN in the software
group (e.g., a software license key that
allows the use of hardware classified
under ECCN 5A992 would be classified
under ECCN 5D992). This clarification
is needed to inform exporters,
reexporters, and transferors of the EAR’s
approach to software keys.
If authorization is required for the
export, reexport, or transfer (in-country)
of the ‘‘software’’ or hardware,
authorization is likewise required for
the software key. For example, if a
license is required to export ECCN
5D992 software to an entity listed on the
Entity List (see supplement no. 4 to part
744 of the EAR), a license is also
required to release the associated
software key that allows the entity
access to that ‘‘software.’’ Importantly,
this would apply even if the listed
entity already had previous access to the
‘‘software’’ in question but needs a
software key for current or future access
to the ‘‘software.’’
Additionally, if authorization via an
export license is obtained for the export,
reexport, or transfer (in-country) of the
‘‘software’’ or hardware, that license
also authorizes the export, reexport, or
transfer (in-country) to the
corresponding software license key,
consistent with the terms and
conditions set forth in the license. The
license would continue to authorize
access to the use of the ‘‘software’’ or
hardware (not upgrades) until the
license expires, provided the license did
not specifically exclude such a release
of the software license key, or require
separate authorization for the software
key.
If no authorization was required for
the initial export of the ‘‘software’’ or
hardware and the associated software
key, but a license requirement is later
imposed on the ‘‘software’’ or hardware
(e.g., a license requirement is imposed
because the end user becomes listed on
the Entity List), then subsequent
exports, reexports, or transfers (incountry) of the ‘‘software,’’ hardware,
and the software license key are subject
to the new license requirement. This
clarifies the application of paragraph (b)
requirements when a change in the
license requirement occurs between the
initial export, reexport, or transfer (incountry) of the ‘‘software’’ or hardware,
and the release of the software license
key to access it.
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
Note 2 to paragraph (b) is added in
§ 734.19 to clarify that paragraph (b)
does not impact keys that unlock
dormant functionality in a controlled
item. Note 2 to paragraph (b) addresses
items with dormant functionality that
can be activated using a license key.
This typically occurs when an item is
already activated and useable, but a
customer wants to purchase and add
additional features. In some cases, those
additional features take an item from an
uncontrolled to a controlled state, or
from a lower to a higher control (e.g., an
AT-controlled item becomes NScontrolled). BIS has existing policies on
the way it treats such a scenario that
vary by category. The purpose of Note
2 to paragraph (b) is to make it clear that
this rule is not intended to impact those
existing policies.
As a conforming change, this IFR also
revises the definition of ‘‘access
information’’ in part 772 to specify that
the definition of ‘‘access information’’
applies only to § 734.19(a), and not to
§ 734.19(b).
Instructions for submission of
comments, including comments that
contain business confidential
information, are found in the ADDRESSES
section of this IFR. BIS is requesting
comments on whether the revisions
promulgated in this IFR effectively
describe the treatment of software keys,
that allow users the ability to use the
‘‘software’’ and hardware, and software
keys that renew existing ‘‘software’’ use
licenses. Additionally, whether BIS
should address the export control status
of other types of keys and software
access control mechanisms under the
EAR. BIS will also accept comments on
the relationship between the treatment
of software keys as described in new
§ 734.19(b) and the treatment of keys
that activate new dormant functionality
in ‘‘software’’ or hardware that has
already been activated.
E. Revisions to the Commerce Control
List
1. Revisions to 3B001
This rule revises 3B001 by adding
‘‘oxygen’’ to paragraph 3B001.a.2 to
implement a revision of a WA
agreement. Oxygen is added due to
recent developments in the epitaxial
growth rate of gallium oxide in metal
organic chemical vapor deposition
(MOCVD) systems. Double quotes are
added around the word ‘‘production’’ in
Technical Note 1 to paragraph 3B001.e
to indicate it is a defined term in § 772.1
of the EAR.
This section discusses the
amendments to ECCN 3B001 other than
the commodities moved to new ECCN
PO 00000
Frm 00016
Fmt 4701
Sfmt 4700
3B993, discussion of which is found in
Section D.4 of the rule. No changes were
made to ECCN 3B001 paragraphs .b, or
.g through .n.
The ECCN 3B001 paragraphs
amended and added in this rule are
controlled for NS and RS reasons, as
identified in §§ 742.4(a)(4) (NS) and
742.6(a)(6) (RS), which applies only to
Macau and destinations specified in
Country Group D:5. The entire entry is
also controlled for anti-terrorism (AT)
reasons and subject to an AT:1 license
requirement. The License Requirement
table is revised as needed to identify
these reasons for control.
3B001.a.4 is updated as follows. The
list of epitaxy materials is updated to
only specify silicon and silicon
germanium, but not carbon doped
silicon. Carbon doped silicon epitaxy is
still included under silicon epitaxy. The
parameter specifying the chamber
atmosphere has been removed. The
parameter specifying temperature is
updated to reflect that it refers to the
chamber temperature, and the
temperature value is converted from
degrees Celsius to Kelvin. These
changes are made to ensure that all
systems capable of achieving similar
epitaxial performance are specified
regardless of their architecture or
configuration. These changes are not
intended to alter the original scope of
control as published in October 2023,
and BIS welcomes feedback on whether
any fewer or additional systems are
specified by the updated control text.
3B001.c.3 controls the etch equipment
used to package a chip containing a
through-silicon via (TSV) (e.g., a HBM
chip). The equipment specified by
3B001.c.3 performs a ‘‘reveal etch,’’
which removes silicon from the
backside of the wafer and ‘‘reveals’’ the
vias for subsequent packaging steps. To
perform this process in high-volume
manufacturing and at a high yield, this
equipment employs endpoint detection
to remove a highly precise thickness of
material as well as ‘‘process uniformity
tuning,’’ which is defined in the
Technical Note to 3B001.c.3 to refer to
the ability to compensate for incoming
wafer thickness variation caused by the
wafer grinding process.
3B001.c.4 controls etch equipment
designed to create TSVs, which are
formed by first etching a high-aspect
ratio hole. This control specifies
equipment designed for TSV etch with
an aspect ratio of greater than or equal
to 10:1, which BIS believes describes
the TSVs used in advanced packaging
applications, but not the TSVs used in
legacy processes. The control further
specifies that the equipment produces
low non-uniformity (less than 2%) and
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
a high etch rate (greater than 7 microns
per minute), which are important to
maintain the throughput and yield
necessary for high-volume
manufacturing. BIS notes that 3B001.c.4
items are listed under 3B001 to reflect
that they are subject to a destinationbased license requirement for
destinations specified in Country Group
D:5 or Macau. If § 744.23 does not
apply, applications will be reviewed on
a case-by-case basis if no license would
be required under any other provision of
part 744 of the EAR.
3B001.d.3 is updated to specify that
the plasma process described in d.3.b is
a surface treatment.
3B001.d.4 and 3B001d.12 are updated
to remove the specification that the
transfer chamber or wafer handling
system must maintain high vacuum
(equal to or less than 0.01 Pascal (Pa))
or inert environment between process
steps. This change is made to ensure
relevant systems are specified based on
their performance criteria (listed in the
ECCN sub-paragraphs), rather than
transfer pressure, which may vary from
system to system.
3B001.d.5 is updated to change the
specification of the carbon hard mask to
being more than 2 mm thick and have a
density greater than 1.7 grams per cubic
centimeter (g/cm∧3).
3B001.d.11: The parameters in this
paragraph do not control the targeted
SME, but 3B001.d.7, 3B001.d.8 and
3B001.10 do. Therefore, the control text
is removed, and the paragraph is
reserved.
3B001.d.14 has been updated to
control a narrower set of equipment by
specifying that the properties of the
deposited film must have a lower
dielectric constant and be deposited in
features with a smaller lateral opening
and smaller feature-to-feature pitch. As
described below, the new paragraph
3B993.d.1 is created to be largely similar
to the former paragraph 3B001.d.14.
The control text for former paragraph
3B001.d.16 has been moved to the new
paragraph 3B993.d.2, as described
below. Therefore, the control text is
removed, and the paragraph is reserved.
New paragraph 3B001.d.17 controls
equipment used for depositing dielectric
material between the metal lines of
advanced ICs. This material must have
very low dielectric constant (low-k).
Unlike control 3B001.d.15—which
controls equipment that produces lowk films as-deposited—3B001.d.17
controls films that achieve a low k after
curing with ultraviolet (UV) light. This
UV curing is typically necessary for
achieving the dielectric constants
necessary for ‘‘advanced-node ICs.’’
This equipment uses a plasma-enhanced
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
chemical vapor deposition process,
which allows the substrate to be kept at
a temperature below 500 degrees Celsius
(°C). The most advanced equipment also
includes the curing capability in the
same platform as the deposition
chamber to avoid exposing the
deposited film to moisture in-transit
between different platforms. Finally,
this control specifies the geometry of the
deposited film to be consistent with the
advanced metal layers of advanced IC
production nodes (thickness between 6
nanometers (nm) and 20 nm at an aspect
ratio greater than or equal to 1:1.8, and
a metal pitch less than 24 nm).
New paragraph 3B001.d.18 expands
on the existing controls on equipment
that deposits low-resistivity metals used
in advanced memory integrated circuits.
Namely, this expands on the controls on
molybdenum and ruthenium beyond
controls 3B001.d.12.b and 3B001.d.13,
respectively. 3B001.d.18 includes
deposition techniques that utilize a
reducing agent, rather than keeping the
chamber under vacuum. Additionally,
the control does not specify the type of
precursor, but instead specifies a
precursor temperature above 75°C.
3B001.d.18 also includes a note to
specify that the metal precursor could
be located on the tool or elsewhere in
the facility in a sub-fab. In some cases,
a fabrication facility will separate out
toxic materials from the main
fabrication facility, instead placing them
in a dedicated ‘‘sub-fab.’’
The new 3B001.d.19 controls
equipment designed or modified for
depositing insulators used in advanced
DRAM. As memory cells shrink, the
DRAM cell’s capacitor needs to use an
insulator with a higher dielectric
constant to compensate for its decreased
size. 3B001.d.19 controls equipment
that deposits material in very high
aspect ratio features (greater than 200:1,
characteristic of 3D DRAM technology).
Additionally, 3B001.d.19 specifies the
materials and processes used in practice
to achieve this deposition in high
volume manufacturing. Namely, the
control specifies materials that require
two metal precursors and result in a
film with a very high dielectric constant
(greater than 40). It further specifies that
the two metal precursors are delivered
through direct liquid injection, which is
a technique that allows low-volatility
precursors to achieve sufficient vapor
pressure to efficiently deposit on the
large surface areas present in high
aspect ratio features. Also see the
related control in the new paragraph
3B993.d.4.
The new 3B001.d.20 is created to
control certain physical deposition
equipment having electromagnets and
PO 00000
Frm 00017
Fmt 4701
Sfmt 4700
96805
being ‘‘specially designed’’ for
depositing tungsten into features with a
specified geometry. This equipment
enables the creation of pure tungsten
metal contacts to overcome resistance
challenges in the production of
‘‘advanced-node ICs.’’
3B001.e.1 is updated to include
3B001.a., .b, and .c and .d, because all
of these ‘semiconductor processing
tools’ all have the same configuration.
3B001.f.1.b is updated to remove the
parameter f.1.b.2.b. Items previous
controlled by this parameter are now
controlled under the new 3B993.f.1.b.
The new 3B001.f.5 controls
nanoimprint lithography equipment
capable of producing ‘‘advanced-node
ICs.’’ To accomplish this, the equipment
must have a small overlay accuracy, in
this control an overlay accuracy less
than 1.5nm. BIS has also created a
related new control on less advanced
nanoimprint lithography, paragraph
3B993.f.2, described below.
3B001.o.1 and 3B001.o.2 are moved to
the new paragraphs 3B993.o.1.a and
o.1.b. Therefore, the control text is
removed, and the paragraph is reserved.
New paragraph 3B001.p.4 controls
single wafer cleaning equipment, which
is required for advanced processes due
to the need for higher control over
variables like contamination compared
to batch cleaning systems. 3B001.p.4
expands on former 3B001.p.3 (current
3B993.p.3) by removing the ‘‘surface
modification drying’’ parameter. That
technique can be challenging to use for
the most advanced processes due to
pattern collapse from surface tensions.
3B001.p.4 controls an alternative
technique, supercritical or
sublimination drying using carbon
dioxide (CO2).
3B001.r.is created to control
equipment designed for deposition or
removal processes that improve the
overall patterning achieved by EUV
lithography. See the Crosswalk for
ECCN 3B001 commodities that moved
to New ECCN 3B993 under section
III.D.4 for additional context on these
movements.
2. Revisions to 3B002
ECCN 3B002 is amended by removing
3B002.b from certain license
requirements in the license
requirements table. The License
Exception LVS paragraph is revised by
removing the exclusion for 3B002.b.
Additionally, ECCN 3B993 is added to
the related controls for ECCN 3B002.
3. Revision of ECCNs 3B991 and 3B992
This IFR revises the headings of ECCN
3B991 and 3B992 to add ECCNs 3B993
and 3B994 to the equipment not
E:\FR\FM\05DER2.SGM
05DER2
96806
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
controlled by ECCNs 3B991 or 3B992.
While ECCNs 3B993 and 3B994 may
have similar control parameters, they
have a higher RS reason for control than
ECCNs 3B991 and 3B992, which are
controlled for AT reasons. Therefore,
these revisions were made in order to
maintain the correct order of review.
4. Addition of ECCN 3B993
This IFR adds new ECCN 3B993 to
specify items that enable ‘‘advancednode IC’’ production, but which BIS
believes also have legitimate
applications in non-advanced-node
production, and thus do not warrant
nationwide license requirements or a
presumption of denial. These items are
differentiated from those in the ECCN
3B001 item paragraphs described above,
which now specify items that are not
only enabling ‘‘advanced-node IC’’
production but used exclusively or
designed specifically for that purpose.
khammond on DSK9W7S144PROD with RULES2
CROSSWALK FOR ECCN 3B001 COMMODITIES THAT MOVED TO NEW ECCN 3B993
3B001
Description
c.1.b ..........................................
d.14 ...........................................
d.16 ...........................................
f.1.b.2.b .....................................
o.1 and o.2 ...............................
p.1 and p.3 ...............................
High-aspect ratio etch ................................................................................................................
Remotely-generated radical assisted dielectric deposition .......................................................
Dielectric deposition ...................................................................................................................
Less-advanced DUV photolithography equipment ....................................................................
Annealing equipment .................................................................................................................
Cleaning equipment ...................................................................................................................
Seven commodities are moved from
former ECCN 3B001 paragraphs into the
new ECCN 3B993, due to their nodeagnostic nature and established usage in
non-advanced-node fabrication
applications. These include
commodities in former paragraphs
3B001.c.1.b (high-aspect ratio etch),
3B001.d.14 (remotely-generated radical
assisted dielectric deposition),
3B001.d.16 (dielectric deposition),
3B001.f.1 (less-advanced DUV
photolithography equipment), 3B001.o.1
(annealing equipment), and 3B001.p.1
and p.3 (cleaning equipment). BIS has
also added several other commodities to
new 3B993 ECCNs.
New paragraph 3B993.b.1 augments
existing controls on ion implantation in
2B005.b, 3B001.b, and 3B991.b.1.g.
3B993.b.1 controls equipment that
performs ‘‘plasma doping,’’ which
enables dopant atoms to be deposited
into the sidewalls of 3D structures like
FinFETs and GAAFETs. This control
also specifies several properties of the
equipment, including the wafer size it
can accept (300 mm diameter), power
sources it uses (at least one radio
frequency source and at least one pulsed
direct current source), and the atomic
species it can implant (namely, n-type
or p-type dopants, which are the atomic
species used to tune the electrical
properties of semiconductor material).
3B993.c.1 (formerly 3B001.c.1.b)
controls certain equipment designed or
modified for anisotropic dry etching.
Atomic layer etching enhanced by the
features described in 3B993.c.1 produce
the vertical edges required in highquality, leading-edge advanced devices
and structures, including GAAFET and
similar 3D structures. This control
includes a Note to inform the public
that 3B993.c.1 includes etching by
‘radicals’, ions, sequential reactions, or
non-sequential reactions. In addition, it
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
includes a Technical Note to define the
term ‘radical’ used in the Note.
3B993.c.2 controls etch equipment
used in the fabrication of dynamic
random access memory (DRAM) chips.
As the size of DRAM cells decrease, the
lateral dimensions of all the features
within the memory cell also need to
shrink. Crucially, this involves
shrinking the diameter of the capacitor
used to store the bit of information
contained in the cell. One step in
fabricating this capacitor is etching a
high-aspect ratio feature into a dielectric
material. Accordingly, the control
focuses on equipment that can etch
dielectric materials to an aspect ratio of
greater than 30:1. Furthermore, it
specifies the equipment can create
openings with a lateral dimension less
than 40 nm (necessary for capacitors
that fit in a single advanced DRAM
memory cell). This control also contains
a Note to specify that it does not apply
to equipment designed for wafer
diameters less than 300 mm.
3B993.c.3 expands on the control in
3B001.c.1.c by broadening the scope of
the fast gas switching time (from 300
milliseconds (ms) to 500 ms), and the
individually controllable variable
temperature elements in the
electrostatic chuck (from 20 to 10).
3B993.c.3 will not control equipment
already controlled by 3B001.c.1.c.
3B993.d.1 (derived from former
3B001.d.14) controls equipment for
depositing films in features with certain
geometry and a specified dielectric
constant. This is an update to the former
3B001.d.14 control by redesignating this
equipment specified under new
3B001.d.14 and specifying that the
aspect ratio in 3B993.d.1.b describes the
features. These changes are made to
differentiate license requirements for
more (3B001.d.14) versus less
PO 00000
Frm 00018
Fmt 4701
Sfmt 4700
3B993
c.1.
d.1.
d.2.
f.1.b.2.
o.1 and o.2.
p.1 and p.3.
(3B993.d.1) advanced dielectric
deposition equipment.
3B993.d.2 (formerly 3B001.d.16)
controls deposition for silicon and
carbon containing films while meeting
certain temperature requirements,
having the capability to hold multiple
vertically stacked wafers, and having
certain injector configurations, as
specified.
3B993.d.3 controls equipment
designed for chemical vapor deposition
(CVD) of carbon hard masks. This
expands on 3B001.d.5—which controls
plasma-enhanced CVD (PECVD) of
carbon hard masks—to encompass other
CVD techniques and resulting film
properties. Carbon hard masks are
critical for multipatterning processes,
with higher density masks providing
better etch selectivity and pattern
fidelity, enabling the creation of smaller
features on the wafer.
3B993.d.4 (related to new 3B001.d.19)
controls equipment designed for
depositing the insulators used in
advanced DRAM production. As
memory cells shrink, the DRAM cell’s
capacitor needs to use an insulator with
a higher dielectric constant to
compensate for its decreased size. It
further specifies that this equipment can
deposit material on very high aspect
ratio features (greater than 50:1,
characteristic of advanced DRAM).
Additionally, 3B993.d.4 specifies the
materials and processes used in practice
to achieve this deposition in high
volume manufacturing. Namely, the
control specifies materials that require
two metal precursors and result in a
film with a high dielectric constant
(greater than 35). It further specifies that
the two metal precursors are delivered
through direct liquid injection.
3B993.f.1 (formerly part of 3B001.f.1)
reflects the scope of former
3B001.f.1.b.2.b, which specified certain
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
less-advanced DUV photolithography
equipment. Equipment meeting the
parameters in 3B993.f.1 is not eligible
for de minimis treatment, with one
exception as set forth in § 734.4(a)(3) of
the EAR, as described below in Section
B.1.
3B993.f.2 controls nanoimprint
lithography equipment capable of
producing ‘‘advanced-node ICs.’’ This
control specifies it controls equipment
with an overlay accuracy between 1.5
nm and 4.0 nm. Also see the related
new control on nanoimprint
lithography, 3B001.f.5.
3B993.f.3 specified commodities
designed or modified to improve the
productivity of controlled DUV
photolithography equipment. BIS has
also added similar controls in 3D993
and 3E993 that cover ‘‘software’’ and
‘‘technology’’ for the ‘‘development’’ or
‘‘production’’ of commodities specified
by 3B993, which is intended to restrict
‘‘software’’ or technology’’ that could
modify or improve DUV
photolithography equipment.
3B993.o.1 (formerly 3B001.o) controls
certain annealing equipment for reflow
of copper, cobalt, and tungsten. The
scope of control is unchanged.
3B993.o.2 is created to control certain
equipment designed for annealing
semiconductors. Annealing is an
essential step used to modify the
electrical properties of semiconductor
material to be useful in transistors. This
control is targeted at the ‘‘millisecond
spike annealing’’ technology, which is
used in advanced node semiconductor
fabrication. Millisecond spike annealing
allows for precise control of dopant
activation and diffusion, with the
‘‘spike’’ referring to the extremely short
duration during which the wafer is
heated to the desired temperature. This
brief, intense heating allows for dopant
activation while minimizing unwanted
diffusion, which is critical for creating
advanced semiconductors. This control
covers both lamp-based and laser-based
millisecond spike annealing systems, as
both can achieve rapid heating and
cooling required for this process.
3B993.o.2 includes a Technical Note
that ‘duration’ is the period of time (i.e.,
total elapsed time) the wafer is above
the stated temperature.
3B993.p is created to control removal
and cleaning equipment 3B993.p.1
(formerly 3B001.p.1) controls
equipment designed for removing
polymeric residue and copper oxide
film and enabling deposition of copper
metal in a vacuum (equal to or less than
0.01 Pa) environment. 3B993.p.3
(formerly 3B001.p.3) controls
equipment designed for dry surface
oxide removal preclean or dry surface
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
decontamination. BIS notes that this
control does not capture deposition
equipment not elsewhere specified, but
which may also have the capability
described in the control.
3B993.q.1 is created to control
metrology and inspection equipment for
use with patterned 300 mm
semiconductor wafers, including
equipment employing either optical or
electron beam techniques. It specifies
the equipment must be designed or
modified to detect defects equal to or
smaller than 21nm, which BIS believes
is critical for advanced-node production
applications. This control also specifies
properties of the source. For optical
equipment (including broadband
plasma equipment), it specifies the
wavelength must be less than 400 nm,
but BIS notes that this requirement
would be met by equipment that can be
tuned to a wavelength both below and
above 400 nm. For electron beam
equipment, it specifies the system must
have a resolution less than or equal to
1.65nm, or certain properties for its
electron beam source (i.e., a cold field
emission source, or two sources of any
type).
3B993.q.2 controls metrology
equipment that can improve the overlay
accuracy of photolithography
equipment. Overlay accuracy is
important for multipatterning, a process
which enables legacy photolithography
machines to create ‘‘advanced-node
ICs.’’ 3B993.q.2 focuses on two types of
machines. 3B993.q.2.a controls
machines that measure wafer shape
(typically used to feedforward
measurements to lithography machines).
3B993.q.2.b controls machines that
measure focus and overlay after resist
development (typically used for
feedback to a lithography machines).
3B993.q.2.a controls only standalone
equipment (not equipment integrated
into the lithography machines itself),
whereas 3B993.q.2.b controls machines
designed for integration to a track
(which maximizes throughput).
3B993.q.2.b also specifies that the
machine must have fast wavelength
switching functionality and an overlay
measurement accuracy better than 0.5
nm. 3B993.q.2 also includes Technical
Notes to clarify the terminology in the
control. Namely, these specify that, for
the purposes of 3B993.q.2, a ‘track’ is
equipment designed for coating and
developing photoresist formulated for
lithography, and ‘fast wavelength
switching functionality’ means the
equipment can the change the
measurement wavelength and acquire a
measurement in less than 25 ms.
PO 00000
Frm 00019
Fmt 4701
Sfmt 4700
96807
5. Addition of ECCN 3B994
Similar to ECCN 3B993, BIS has
added new ECCN 3B994 to specify
items that can support ‘‘advanced-node
IC’’ ‘‘production,’’ but which BIS
believes also have legitimate
applications in non-advanced-node
production, and thus do not warrant
nationwide license requirements or a
presumption of denial. ECCN 3B994
will be continually evaluated to
determine if additions, revisions, or
removals are needed. ECCN 3B994 is
controlled for RS and AT reasons; for
the former, see § 742.6(a)(11) and (b)(12)
of the EAR.
3B994.b.2 controls certain ion
implantation equipment. 3B994.b.2.a
controls low- and medium-current ion
implantation equipment. This
equipment is used in advanced
processes to maintain low damage and
high uniformity on the smallest
transistors fabricated in advanced
production. 3B994.b.2.b controls high
energy, low current systems which can
implant dopants at a shallow depth
below the surface of the wafer, which is
used in some advanced processes.
3B994.b.2.c. controls systems that can
maintain a high angular accuracy
between the ion beam and the substrate,
which is used to implant the non-planar
transistor structures used in ‘‘advancednode ICs.’’
3B994.q.3 controls equipment that
uses optical measurement techniques
and advanced software to determine the
three-dimensional structure of patterns
on a semiconductor wafer. Such
techniques can be used to monitor and
optimize process to fabricate the nonplanar transistors used in ‘‘advancednode ICs.’’
6. Revision to ECCN 3D002
This IFR is removing the SME
previously added to the license
requirements of ECCN 3D002 and
revising it to align with typical controls
applied to Wassenaar Dual-Use List
entries. The NS column 1 license
requirement no longer only applies to
certain SME, but now applies to the
entire entry. The NS and RS license
requirements for 3B001.a.4, c, d, f.1. b,
j to p, 3B002.b and c, to Macau and
destinations in Country Group D:5 are
removed, because the WA control is
broader. The RS control is not
necessary, because this entry is
controlled by a multilateral regime.
7. Addition of ECCN 3D992
BIS has added new ECCN 3D992 to
control software for specified SME.
3D992.a controls ‘‘software’’ for the
‘‘development’’ or ‘‘production,’’ of
E:\FR\FM\05DER2.SGM
05DER2
96808
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
commodities specified in 3B001.a.4, c,
d, f.1, f.5, k to n, p.2, p.4, r, or 3B002.c.
In addition, 3D992.b is added to control
electronic computer-aided design
(ECAD) software for advanced
semiconductor packaging involving
multiple chips or chiplets co-packaged
in a single device. This software must
support complex 3D floorplans and
must conduct advanced simulations to
detect and mitigate potential signal
degradation and electromagnetic
interference. The control also includes a
Technical Note to specify that ‘multichip’ includes both multi-die and multichiplet.
khammond on DSK9W7S144PROD with RULES2
8. Addition of ECCN 3D993
ECCN 3D993.a is added to control
‘‘software’’ for the ‘‘production’’ and
‘‘development’’ of commodities in
ECCN 3B993. Paragraph 3D993.b
controls ‘Electronic Computer-Aided
Design’ (‘ECAD’) ‘‘software’’ designed or
modified for the ‘‘development’’ or
‘‘production’’ of integrated circuits
using multipatterning. Paragraph
3B993.c controls computational
lithography software, which enables
fabrication facilities to decrease their
minimum resolvable feature size
through techniques such as optical
proximity correction, inverse
lithography, and hotspot correction. In
some cases, this software acts as a
digital model of the fabrication facility,
allowing for very precise optimization
of the fabrication process. Existing
controls are limited to computational
lithography equipment specifically for
EUV, but computational lithography
software that is not currently controlled
can improve the minimum feature size
achievable with DUV to less than 40nm
and can facilitate the complex mask
decomposition necessary for
multipatterning. ECCN 3B993.d is
added to control software designed or
modified to improve the productivity of
controlled DUV photolithography
equipment. This addition addresses that
potential workaround. 3D993 also
includes a technical note which defines
‘computational lithography’.
9. Addition of ECCNs 3E992 and 3E993
This IFR adds ECCN 3E992 to control
‘‘technology’’ for the ’’production’’ or
‘‘development’’ of commodities
specified in 3B001.a.4, c, d, f.1, f.5, k to
n, p.2, p.4, r; and 3B002.c to or within
Macau or a destination specified in
Country Group D:5 of supplement no. 1
to part 740 of the EAR (see § 742.4(a)(4)
and § 742.6(a)(6)(i) of the EAR). This
rule also moves the worldwide NS and
RS controls for ‘‘software’’ for
equipment controlled by 3B001.c.1.a or
c.1.c from ECCN 3E001 to ECCN 3E992,
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
as well as the License Exception IEC
paragraph.
This rule adds ECCN 3E993.a to
control ‘‘technology’’ for the
‘‘development’’ or ‘‘production’’ of
commodities specified in 3B993 (see
§ 742.6(a)(11) and (b)(12) of the EAR).
ECCN 3E993.b is added to control
‘‘technology’’ designed or modified to
improve the productivity of controlled
DUV photolithography equipment.
10. Addition of ECCNs 3D994 and
3E994
This IFR adds ECCNs 3D994 and
3E994 to the CCL to control ‘‘software’’
and ‘‘technology’’ for the
‘‘development’’ or ‘‘production’’ of
commodities specified in ECCN 3B994.
These ECCNs will be controlled for RS
and AT reasons; for the former, see
§ 742.6(a)(11) and (b)(12) of the EAR.
IV. Public Comments
BIS welcomes comments from the
public on these additional changes and
clarifications made to § 744.23(a)(4), as
well as for any of the other changes
included in this IFR.
V. Savings Clauses
• Savings clause for amendatory
instructions 4, 5, 15, 16, 17, 19, 20, 21,
23, 25, 29, and 31: For changes in
amendatory instructions 4, 5, 15, 16, 17,
19, 20, 21, 23, 25, 29, and 31, shipments
of items removed from eligibility for a
License Exception or export, reexport,
or transfer (in-country) without a license
(NLR) as a result of this regulatory
action that were en route aboard a
carrier to a port of export, reexport, or
transfer (in-country), on January 6, 2025,
pursuant to actual orders for export,
reexport, or transfer (in-country) to or
within a foreign destination, may
proceed to that destination under the
previous eligibility for a License
Exception or export, reexport, or
transfer (in-country) without a license
(NLR), provided the export, reexport, or
transfer (in-country) is completed no
later than on February 3, 2025.
• There is no savings clause for other
amendatory instructions in this IFR.
VI. Export Control Reform Act of 2018
On August 13, 2018, the President
signed into law the John S. McCain
National Defense Authorization Act for
Fiscal Year 2019, which included the
ECRA (codified, as amended, at 50
U.S.C. 4801–4852). ECRA provides the
legal basis for BIS’s principal authorities
and serves as the authority under which
BIS issues this rule. In particular, and as
noted elsewhere, Section 1753 of ECRA
(50 U.S.C. 4812) authorizes the
regulation of exports, reexports, and
PO 00000
Frm 00020
Fmt 4701
Sfmt 4700
transfers (in-country) of items subject to
U.S. jurisdiction. Further, Section
1754(a)(1)–(16) of ECRA (50 U.S.C.
4813(a)(1)–(16)) authorizes, inter alia,
the establishment of a list of controlled
items; the prohibition of unauthorized
exports, reexports, and transfers (incountry); the requirement of licenses or
other authorizations for exports,
reexports, and transfers (in-country) of
controlled items; apprising the public of
changes in policy, regulations, and
procedures; and any other action
necessary to carry out ECRA that is not
otherwise prohibited by law. Pursuant
to Section 1762(a) of ECRA (50 U.S.C.
4821(a)), these changes can be imposed
in a final rule without prior notice and
comment.
VII. Rulemaking Requirements
1. Executive Orders 12866, 13563, and
14094 direct agencies to assess all costs
and benefits of available regulatory
alternatives and, if regulation is
necessary, to select regulatory
approaches that maximize net benefits
(including potential economic,
environmental, public health and safety
effects and distributive impacts and
equity). Executive Order 13563
emphasizes the importance of
quantifying both costs and benefits and
of reducing costs, harmonizing rules,
and promoting flexibility. Pursuant to
Executive Order 12866, as amended,
this final rule has not been determined
to be a ‘‘significant regulatory action.’’
2. Notwithstanding any other
provision of law, no person is required
to respond to, nor shall any person be
subject to a penalty for failure to comply
with, a collection of information subject
to the requirements of the Paperwork
Reduction Act of 1995 (44 U.S.C. 3501
et seq.) (PRA), unless that collection of
information displays a currently valid
Office of Management and Budget
(OMB) Control Number.
This rule involves the following
OMB-approved collections of
information subject to the PRA:
• 0694–0088, ‘‘Multi-Purpose
Application,’’ which carries a burden
hour estimate of 29.4 minutes for a
manual or electronic submission;
• 0694–0096 ‘‘Five Year Records
Retention Period,’’ which carries a
burden hour estimate of less than 1
minute;
• 0694–0122, ‘‘Licensing
Responsibilities and Enforcement;’’
which carries a burden hour estimate of
10 minutes per electronic submission;
• 0694–0137, ‘‘License Exceptions
and Exclusions;’’ which carries a burden
hour estimate of 5 minutes per
electronic submission; and
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
• 0607–0152 ‘‘Automated Export
System (AES) Program,’’ which carries a
burden hour estimate of 3 minutes per
electronic submission.
This IFR will affect the collection
under control number 0694–0088, for
the multipurpose application because of
the addition of HBM controls to ECCN
3A090.c, the eight new Red Flags added
to supplement no. 3 to part 732, and the
addition of the new FDP rules under
§ 734.9(e)(3) and (k), which will result
in additional license applications. BIS
estimates that the changes included in
this IFR will result in an increase of 75
multi-purpose applications (i.e., an
increase of 75 license applications)
submitted annually to BIS. However, the
additional burden falls within the
existing burden estimates currently
associated with these control numbers.
This regulation also involves a
collection previously approved by the
OMB under control number 0694–0122,
‘‘Licensing Responsibilities and
Enforcement’’ because this rule under
the revision to § 758.6(a)(2) will require
the items level classification for
ECCN(s) 3A090.c to be included on the
commercial invoice, similar to the
previous requirement to include this
classification information on the
commercial invoice, which will now
require identifying new 3A090.c when
applicable on the commercial invoice.
BIS does not anticipate a change in the
total burden hours associated with the
PRA and OMB control number 0694–
0122 as a result of this rule.
This IFR also involves a collection
previously approved by the OMB under
control number 0694–0137, ‘‘License
Exceptions and Exclusions’’ because
this rule includes the addition of two
new EAR license exceptions, which
each include new notification or
reporting requirements that are being
added to this BIS information collection
under OMB Control Number 0694–0137.
Specifically, this IFR adds new
reporting requirements with the
addition of License Exception HBM
under § 740.25 of the EAR and adds new
notification and reporting requirements
for the addition of License Exception
RFF under § 740.26 of the EAR. These
changes are expected to result in an
increase of 10 notifications to BIS under
License Exception HBM. BIS estimates
that the time needed to submit each
notification to BIS is 20 minutes,
resulting in an increase in burden hours
of 3 hours. This collection of
information fits within the scope of this
IC. These changes are expected to result
in an increase of 306 prior notifications
under § 740.26(d)(1) to BIS under
License Exception RFF. BIS estimates
that the time needed to submit each
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
notification to BIS is 5 minutes,
resulting in an increase in burden hours
of 26 hours. This collection of
information fits within the scope of this
IC.
These changes are expected to result
in an increase of not more than 3
notifications within one business day
under § 740.26(d)(2) to BIS under
License Exception RFF. BIS estimates
that the time needed to submit each
notification to BIS is 5 minutes,
resulting in an increase in burden hours
of no more than 15 minutes. This
collection of information fits within the
scope of this IC. These changes are
expected to result in an increase of 306
installation reports under § 740.26(e)(1)
to BIS under License Exception RFF.
BIS estimates that the time needed to
submit each notification to BIS is 5
minutes, resulting in an increase in
burden hours of 26 hours. These
changes are expected to result in an
increase of 306 annual end-use
confirmation reports under
§ 740.26(e)(2) to BIS under License
Exception RFF. BIS estimates that the
time needed to submit each notification
to BIS is 5 minutes, resulting in an
increase in burden hours of 26 hours.
This collection of information fits
within the scope of this IC.
Additional information regarding
these collections of information—
including all background materials—can
be found at https://www.reginfo.gov/
public/do/PRAMain by using the search
function to enter either the title of the
collection or the OMB Control Number.
3. This rule does not contain policies
with federalism implications as that
term is defined in Executive Order
13132.
4. Pursuant to Section 1762 of ECRA
(50 U.S.C. 4821), this action is exempt
from the Administrative Procedure Act
(APA) (5 U.S.C. 553) requirements for
notice of proposed rulemaking,
opportunity for public participation,
and delay in effective date. While
Section 1762 of ECRA provides
sufficient authority for such an
exemption, this action is also
independently exempt from these APA
requirements because it involves a
military or foreign affairs function of the
United States (5 U.S.C. 553(a)(1)).
However, BIS is accepting comments on
this IFR.
5. Because a notice of proposed
rulemaking and an opportunity for
public comment are not required to be
given for this rule under the APA (5
U.S.C. 553) or by any other law, the
analytical requirements of the
Regulatory Flexibility Act (5 U.S.C. 601,
et seq.) are not applicable. Accordingly,
PO 00000
Frm 00021
Fmt 4701
Sfmt 4700
96809
no regulatory flexibility analysis is
required, and none has been prepared.
List of Subjects
15 CFR Parts 732
Administrative practice and
procedure, Exports, Reporting and
recordkeeping requirements.
15 CFR Part 734
Administrative practice and
procedure, Exports, Inventions and
patents, Research, Science and
technology.
15 CFR Parts 740 and 758
Administrative practice and
procedure, Exports, Reporting and
recordkeeping requirements.
15 CFR Part 742
Exports, Terrorism.
15 CFR Part 744
Exports, Reporting and recordkeeping
requirements, Terrorism.
15 CFR Parts 736, 770, and 772
Exports.
15 CFR Part 762
Administrative practice and
procedure, Business and industry,
Confidential business information,
Exports, Reporting and recordkeeping
requirements.
15 CFR Part 774
Exports, Reporting and recordkeeping
requirements.
For the reasons stated in the
preamble, parts 732, 734, 736, 740, 742,
744, 758, 762, 770, 772, and 774 of the
Export Administration Regulations (15
CFR parts 730 through 774) are
amended as follows:
PART 732—STEPS FOR USING THE
EAR
1. The authority citation for part 732
continues to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; E.O.
13026, 61 FR 58767, 3 CFR, 1996 Comp., p.
228; E.O. 13222, 66 FR 44025, 3 CFR, 2001
Comp., p. 783.
2. In supplement no. 3 to part 732
amend paragraph (b) by adding
paragraphs 20 through 27 to read as
follows:
■
Supplement No. 3 to Part 732—BIS’s
‘‘Know Your Customer’’ Guidance and
Red Flags
*
*
*
*
*
(b) * * *
20. A non-advanced fabrication facility
orders equipment designed for ‘‘advanced-
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
96810
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
node IC’’ production, (e.g., § 742.4(a)(4)
ECCNs) that it would not need given its
technology level. This technology mismatch
indicates the fabrication facility produces or
intends to produce ‘‘advanced-node ICs,’’
and it requires resolution before the exporter,
reexporter, or transferor proceeds with the
transaction.
21. An exporter, reexporter, or transferor
receives an order for which the ultimate
owner or user of the items is uncertain, such
as a request to ship equipment for developing
or producing integrated circuits to a
distributor without a manufacturing
operation, when the item is ordinarily
customized for the end user or installed by
the supplier. Because the distributor would
never be the end user of such equipment, the
ultimate owner or beneficiary is unknown to
the exporter, reexporter, or transferor. This
uncertainty raises a Red Flag that needs to be
resolved before the exporter, reexporter, or
transferor proceeds with the transaction, in
particular for items where such information
would typically be known to an exporter,
reexporter, or transferor, such as for
advanced computing items, supercomputers,
or SME.
22. An exporter, reexporter, or transferor
receives an order or request related to an item
that would require an export, reexport, or incountry transfer license from BIS or another
jurisdiction that maintains controls on the
item, and there is uncertainty about the
license history for the item. For example,
there is information known to the exporter,
reexporter, or transferor indicating that a
required license was not, or would not have
likely been obtained by the end user, such as
where the end user or end use, or the ECCN
and end-user destination triggers a license
review policy of a presumption of denial.
These uncertainties raise a Red Flag that
needs to be resolved before the exporter,
reexporter, or transferor proceeds with
further transactions related to the item to
avoid the risk of violating § 764.2(e) (‘‘Acting
with knowledge of a violation.’’). This would
include acting on requests to service, install,
upgrade, or otherwise maintain the item of
concern.
23. An exporter, reexporter, or transferor
receives a request to service, install, upgrade,
or otherwise maintain an item that was
altered after export, reexport, or transfer by
a third-party for a more advanced end use
that would normally require a license for the
destination. This scenario raises a Red Flag
that the item is employed in a prohibited end
use that would need to be resolved before
proceeding further with the transaction.
24. An exporter, reexporter, or transferor
receives a request for an item or service from
a new customer. The new customer’s senior
management or technical leadership (e.g.,
process engineers that are team leaders or
otherwise leading development or
production activities) overlaps with an entity
on the Entity List in supplement no. 4 to part
744 of the EAR, particularly if the supplier
previously provided the same or
substantially similar item or service to the
Entity List entity, most likely prior to the
listed entity being added to the Entity List.
This scenario would raise a Red Flag that the
entity requesting the item or service is
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
engaged in or supporting the same prohibited
end use as the Entity List entity, and the
supplier would need to conduct additional
due diligence before proceeding with the
transaction with the new customer.
25. An exporter, reexporter, or transferor
receives a request from a new customer for
an item or service that was designed or
modified for an existing or former customer
that is now designated on the Entity List.
This scenario would raise a Red Flag that the
new customer has assumed the operations for
which the item or service is still needed to
engage in or support the same prohibited
end-use for which the Entity List entity was
listed. The exporter, reexporter, or transferor
must resolve this Red Flag before proceeding
with the transaction.
26. For purposes of analyzing the scope of
the Entity List FDP rule for Footnote 5
entities described in § 734.9(e)(3) and the
SME FDP rule in § 734.9(k), if a foreignproduced item is described in the relevant
Category 3B ECCN in § 734.9(e)(3)(i) or
§ 734.9(k)(1) and contains at least one
integrated circuit, then there is a Red Flag
that the foreign-produced item meets the
product scope of the applicable FDP rule.
The exporter, reexporter, or transferor must
resolve this Red Flag before proceeding.
27. The end user is a ‘‘facility’’ that is
physically connected to a ‘‘facility’’ where
‘‘production’’ of ‘‘advanced-node ICs’’
occurs. This scenario raises a Red Flag that
the end user is also a ‘‘facility’’ where the
‘‘production’’ of ‘‘advanced-node ICs’’
occurs, and the supplier would need to
conduct additional due diligence before
proceeding with the transaction. For
example, if an exporter, reexporter, or
transferor receives an equipment order from
a company that is engaged in ‘‘production’’
of non-‘‘advanced-node ICs’’ in a building
with a bridge, tunnel, or other connection to
another building where the ‘‘production’’ of
‘‘advanced-node ICs’’ occurs, then both
buildings would be subject to the controls
under § 744.23 of the EAR. However, if the
exporter or fabrication facility has received
an Advisory Opinion from BIS confirming
that the ‘‘production’’ technology node for
the relevant facility does not qualify as an
‘‘advanced-node IC’’ technology node, that
would resolve the Red Flag of the connection
to the advanced facility. Unless the Red Flag
is resolved through an Advisory Opinion, the
two buildings are treated as a single
‘‘facility’’ for purposes of § 744.23 of the
EAR.
PART 734—SCOPE OF THE EXPORT
ADMINISTRATION REGULATIONS
3. The authority citation for part 734
is revised to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; E.O.
12938, 59 FR 59099, 3 CFR, 1994 Comp., p.
950; E.O. 13020, 61 FR 54079, 3 CFR, 1996
Comp., p. 219; E.O. 13026, 61 FR 58767, 3
CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR
44025, 3 CFR, 2001 Comp., p. 783; E.O.
13637, 78 FR 16129, 3 CFR, 2014 Comp., p.
223; Notice of November 7, 2024, 89 FR
88867 (November 8, 2024).
■
4. Section 734.4 is amended by:
PO 00000
Frm 00022
Fmt 4701
Sfmt 4700
a. Revising paragraph (a)(3); and
b. Adding paragraphs (a)(8) and (9).
The revision and additions read as
follows:
■
■
§ 734.4
De minimis U.S. content.
(a) * * *
(3) There is no de minimis level for
equipment meeting the parameters in
ECCN 3B993.f.1 of the Commerce
Control List in supplement no. 1 to part
774 of the EAR, when the equipment is
destined for use in the ‘‘development’’
or ‘‘production’’ of ‘‘advanced-node
integrated circuits’’ and the ‘‘advancednode integrated circuits’’ meet the
parameter specified in paragraph (1) of
that definition in § 772.1 of the EAR,
unless the country from which the
foreign-made item was first exported 1
has a commodity specified on an export
control list.
*
*
*
*
*
(8) There is no de minimis level
related to the SME FDP rule for a
commodity meeting the parameters in
ECCNs 3B001.a.4, c, d, f.1, f.5, k to n,
p.2, p.4, r, or 3B002.c of the Commerce
Control List (CCL) in supplement no. 1
to part 774 of the EAR, when the
commodity contains a U.S.-origin
integrated circuit specified under
Category 3, 4, or 5 of the CCL, and the
commodity is destined for Macau or a
destination specified in Country Group
D:5, unless excluded from the national
security license requirement in
§ 742.4(a)(4) or the regional stability
license requirement in § 742.6(a)(6) of
the EAR.
(9) There is no de minimis level
related to the Footnote 5 FDP rule for an
item meeting the parameters in ECCNs
specified in Category 3B (except
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4,
r, or 3B002.c) of the Commerce Control
List (CCL) in supplement no. 1 to part
774 of the EAR, when the commodity
contains a U.S.-origin integrated circuit
specified under Category 3, 4, or 5 of the
CCL, and the commodity is destined for
an entity with a Footnote 5 designation
in the license requirement column of
the Entity List in supplement no. 4 to
part 744 of the EAR.
*
*
*
*
*
1 The Government of Japan added ArF-wet
lithography equipment and other advanced
semiconductor manufacturing equipment to
its control list for all regions on July 23, 2023.
*
*
*
*
*
5. Section 734.9 is amended by:
■ a. Revising the introductory text;
■ b. Revising paragraphs (e)
introductory text, (e)(1)(i)(A) and (B),
and (e)(2)(i)(A) and (B);
■ c. Adding paragraph (e)(3);
■
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
d. Revising paragraphs (h)(1)(i)(A)
introductory text, (h)(1)(ii)(A), and
(i)(1)(i) and (ii); and
■ e. Adding paragraph (k).
The revisions and additions read as
follows:
■
khammond on DSK9W7S144PROD with RULES2
§ 734.9
Rules.
Foreign-Direct Product (FDP)
Foreign-produced items located
outside the United States are subject to
the EAR when they are a ‘‘direct
product’’ of specified ‘‘technology’’ or
‘‘software,’’ produced by a complete
plant or ‘major component’ of a plant
that itself is a ‘‘direct product’’ of
specified ‘‘technology’’ or ‘‘software,’’
or, for specified foreign-produced items
in paragraph (e)(3)(i)(B)(2) of this
section, contain an item produced by a
complete plant or ‘major component’ of
a plant that itself is a ‘‘direct product’’
of specified ‘‘technology’’ or ‘‘software.’’
If a foreign-produced item is subject to
the EAR, then you should separately
determine the license requirements that
apply to that foreign-produced item
(e.g., by assessing the item
classification, destination, end-use, and
end-user in the relevant transaction).
Not all transactions involving foreignproduced items that are subject to the
EAR require a license. Those
transactions that do require a license
may be eligible for a license exception.
*
*
*
*
*
(e) Entity List FDP rules. A foreignproduced item is subject to the EAR if
it meets the product scope and end-user
scope in either Entity List FDP rule
footnote 1 provision in paragraph (e)(1)
of this section; the Entity List FDP rule
footnote 4 provision in paragraph (e)(2)
of this section; or the Entity List FDP
rule Footnote 5 provision in paragraph
(e)(3) of this section.
(1) * * *
(i) * * *
(A) ‘‘Direct product’’ of ‘‘technology’’
or ‘‘software.’’ A foreign-produced item
meets the product scope of this
paragraph (e)(1)(i)(A) if the foreignproduced item is a ‘‘direct product’’ of
‘‘technology’’ or ‘‘software’’ subject to
the EAR and specified in ECCN 3D001,
3D901, 3D991, 3D992, 3D993, 3D994,
3E001, 3E002, 3E003, 3E901, 3E991,
3E992, 3E993, 3E994, 4D001, 4D993,
4D994, 4E001, 4E992, 4E993, 5D001,
5D991, 5E001, or 5E991 of the
Commerce Control List (CCL) in
supplement no. 1 to part 774 of the
EAR; or
(B) Product of a complete plant or
’major component’ of a plant that is a
‘‘direct product.’’ A foreign-produced
item meets the product scope of this
paragraph if the foreign-produced item
is produced by any complete plant or
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
‘major component’ of a plant that is
located outside the United States, when
the complete plant or ‘major
component’ of a plant, whether made in
the U.S. or a foreign country, itself is a
‘‘direct product’’ of U.S.-origin
‘‘technology’’ or ‘‘software’’ that is
specified in ECCN 3D001, 3D901,
3D991, 3D992, 3D993, 3D994, 3E001,
3E002, 3E003, 3E901, 3E991, 3E992,
3E993, 3E994, 4D001, 4D993, 4D994,
4E001, 4E992, 4E993, 5D001, 5D991,
5E001, or 5E991 of the CCL.
Note 2 to paragraph (e)(1)(i): A foreignproduced item includes any foreignproduced wafer whether finished or
unfinished.
*
*
*
*
*
(2) * * *
(i) * * *
(A) ‘‘Direct product’’ of ‘‘technology’’
or ‘‘software.’’ The foreign-produced
item is a ‘‘direct product’’ of
‘‘technology’’ or ‘‘software’’ subject to
the EAR and specified in ECCN 3D001,
3D901 3D991, 3D992, 3D993, 3D994,
3E001, 3E002, 3E003, 3E901, 3E991,
3E992, 3E993, 3E994, 4D001, 4D993,
4D994, 4E001, 4E992, 4E993, 5D001,
5D002, 5D991, 5E001, 5E002, or 5E991
of the CCL; or
(B) Product of a complete plant or
’major component’ of a plant that is a
‘‘direct product.’’ A foreign-produced
item meets the product scope of this
paragraph if the foreign-produced item
is produced by any complete plant or
‘major component’ of a plant that is
located outside the United States, when
the complete plant or ‘major
component’ of a plant, whether made in
the U.S. or a foreign country, itself is a
‘‘direct product’’ of U.S.-origin
‘‘technology’’ or ‘‘software’’ that is
specified in ECCN 3D001, 3D901,
3D991, 3D992, 3D993, 3D994, 3E001,
3E002, 3E003, 3E901, 3E991, 3E992,
3E993, 3E994, 4D001, 4D993, 4D994,
4E001, 4E992, 4E993, 5D001, 5D002,
5D991, 5E001, 5E002, or 5E991 of the
CCL.
*
*
*
*
*
(3) Entity List FDP rule: Footnote 5. A
foreign-produced commodity is subject
to the EAR if it meets both the product
scope in paragraph (e)(3)(i) of this
section and the end-user scope in
paragraph (e)(3)(ii) of this section. See
§ 744.11(a)(2)(v) of the EAR for license
requirements, exclusion from license
requirements, and license review policy,
applicable to foreign-produced
commodities that are subject to the EAR
pursuant to this paragraph (e)(3).
(i) Product Scope Entity List FDP rule:
Footnote 5. The product scope applies if
a foreign-produced commodity is
specified in ECCN 3B001 (except
PO 00000
Frm 00023
Fmt 4701
Sfmt 4700
96811
3B001.a.4, c, d, f.1, f.5, g, h, k to n, p.2,
p.4, r), 3B002 (except 3B002.c), 3B903,
3B991 (except 3B991.b.2.a through
3B991.b.2.b), 3B992, 3B993, or 3B994,
and meets the conditions of either
paragraph (e)(3)(i)(A) or (B) of this
section.
(A) ‘‘Direct product’’ of ‘‘technology’’
or ‘‘software.’’ A foreign-produced item
meets the product scope of this
paragraph if the foreign-produced
commodity is a ‘‘direct product’’ of
‘‘technology’’ or ‘‘software’’ subject to
the EAR and specified in ECCN 3D001
(for 3B commodities), 3D901(for 3B903),
3D991 (for 3B991 and 3B992), 3D993,
3D994, 3E001 (for 3B commodities),
3E901 (for 3B903), 3E991 (for 3B991 and
3B992), 3E993, or 3E994 of the
Commerce Control List (CCL) in
supplement no. 1 to part 774 of the
EAR; or
(B) Product of a complete plant or
‘major component’ of a plant that is a
‘‘direct product,’’ or a commodity that
contains a product of a complete plant
or ‘major component’ of a plant that is
a ‘‘direct product.’’ A foreign-produced
commodity meets the product scope of
this paragraph if the foreign-produced
commodity meets at least one of the
following conditions:
(1) Is produced by any complete plant
or ‘major component’ of a plant that is
located outside the United States, when
the complete plant or ‘major
component’ of a plant, whether made in
the United States or a foreign country,
itself is a ‘‘direct product’’ of U.S.-origin
‘‘technology’’ or ‘‘software’’ that is
specified in ECCN 3D001 (for 3B
commodities), 3D901, 3D991 (for 3B991
and 3B992), 3D992, 3D993, 3D994,
3E001 (for 3B commodities), 3E901 (for
3B903), 3E991 (for 3B991 and 3B992),
3E992, 3E993, or 3E994 of the CCL; or
(2) Contains a commodity produced
by any complete plant or ‘major
component’ of a plant that is located
outside the United States, when the
complete plant or ‘major component’ of
a plant, whether made in the United
States or a foreign country, itself is a
‘‘direct product’’ of U.S.-origin
‘‘technology’’ or ‘‘software’’ that is
specified in ECCN 3D001 (for 3B
commodities), 3D901, 3D991 (for 3B991
and 3B992), 3D992, 3D993, 3D994,
3E001 (for 3B commodities), 3E901 (for
3B903), 3E991 (for 3B991 and 3B992)),
3E992, 3E993, or 3E994 of the CCL.
Note 3 to paragraph (e)(3)(i)(B)(2): The
product scope of paragraph (e)(3)(i) is met if
a foreign-produced commodity contains an
integrated circuit that is produced by a
complete plant or ‘major component’ of a
plant that itself is a ‘‘direct product’’ of U.S.origin ‘‘technology’’ or ‘‘software’’ specified
in the ECCNs described in paragraph
E:\FR\FM\05DER2.SGM
05DER2
96812
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
khammond on DSK9W7S144PROD with RULES2
(e)(3)(i)(B)(2). See Red Flag 26 in supplement
no. 3 to part 732 for additional guidance on
the scope of paragraph (e)(3)(i). Production of
an integrated circuit includes fabrication of
the integrated circuit in a wafer, as well as
assembly, testing, and packaging of the
integrated circuit.
(ii) End-user scope of the Entity List
FDP rule: Footnote 5. A foreignproduced commodity meets the enduser scope of this paragraph (e)(3)(ii) if
there is ‘‘knowledge’’ that:
(A) Activities involving Footnote 5
designated entities. The foreignproduced commodity will be
incorporated into any ‘‘part,’’
‘‘component,’’ or ‘‘equipment’’
produced, purchased, or ordered by any
entity with a Footnote 5 designation in
the license requirement column of the
Entity List in supplement no. 4 to part
744 of the EAR; or
(B) Footnote 5 designated entities as
transaction parties. Any entity with a
Footnote 5 designation in the license
requirement column of the Entity List in
supplement no. 4 to part 744 of the EAR
is a party to any transaction involving
the foreign-produced commodity (e.g.,
as a ‘‘purchaser,’’ ‘‘intermediate
consignee,’’ ‘‘ultimate consignee,’’ or
‘‘end-user’’).
*
*
*
*
*
(h) * * *
(1) * * *
(i) * * *
(A) The foreign-produced item is the
‘‘direct product’’ of ‘‘technology’’ or
‘‘software’’ subject to the EAR and
specified in ECCN 3D001, 3D901,
3D991, 3D992, 3D993, 3D994, 3E001,
3E002, 3E003, 3E901, 3E991, 3E992,
3E993, 3E994, 4D001, 4D090, 4D993,
4D994, 4E001, 4E992, 4E993, 5D001,
5D002, 5D991, 5E001, 5E991, or 5E002
of the CCL; and
*
*
*
*
*
(ii) * * *
(A) The foreign-produced item is
produced by any complete plant or
‘major component’ of a plant that is
located outside the United States, when
the plant or ‘major component’ of a
plant, whether made in the United
States or a foreign country, itself is a
‘‘direct product’’ of U.S.-origin
‘‘technology’’ or ‘‘software’’ that is
specified in ECCN 3D001, 3D901,
3D991, 3D992, 3D993, 3D994, 3E001,
3E002, 3E003, 3E901, 3E991, 3E992,
3E993, 3E994, 4D001, 4D090, 4D993,
4D994, 4E001, 4E992, 4E993, 5D001,
5D991, 5E001, 5E991, 5D002, or 5E002
of the CCL; and
*
*
*
*
*
(i) * * *
(1) * * *
(i) ‘‘Direct product’’ of ‘‘technology’’
or ‘‘software.’’ The foreign-produced
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
item meets the product scope of this
paragraph (i)(1)(i) if the foreignproduced item is a ‘‘direct product’’ of
‘‘technology’’ or ‘‘software’’ subject to
the EAR and specified in ECCN 3D001,
3D901, 3D991, 3D992, 3D993, 3D994,
3E001, 3E002, 3E003, 3E901, 3E991,
3E992, 3E993, 3E994, 4D001, 4D993,
4D994, 4E001, 4E992, 4E993, 5D001,
5D002, 5D991, 5E001, 5E002, or 5E991
of the CCL; or
(ii) Product of a complete plant or
’major component’ of a plant that is a
‘‘direct product.’’ A foreign-produced
item meets the product scope of this
paragraph if the foreign-produced item
is produced by any complete plant or
‘major component’ of a plant that is
located outside the United States, when
the complete plant or ‘major
component’ of a plant, whether made in
the United States or a foreign country,
itself is a ‘‘direct product’’ of U.S.-origin
‘‘technology’’ or ‘‘software’’ that is
specified in ECCN 3D001, 3D901,
3D991, 3D992, 3D993, 3D994, 3E001,
3E002, 3E003, 3E901, 3E991, 3E992,
3E993, 3E994, 4D001, 4D994, 4E001,
4E992, 4E993, 5D001, 5D002, 5D991,
5E001, 5E002, or 5E991 of the CCL.
*
*
*
*
*
(k) Semiconductor Manufacturing
Equipment (SME) FDP rule. A foreignproduced commodity is subject to the
EAR if it meets both the product scope
in paragraph (k)(1) of this section and
the destination scope in paragraph (k)(2)
of this section. See §§ 742.4(a)(4) and
742.6(a)(6)(i)(A) of the EAR for license
requirements and exclusions to the
license requirements and §§ 742.4(b)(2)
and 742.6(b)(10) for license review
policy applicable to foreign-produced
commodities that are subject to the EAR
under this paragraph (k).
(1) Product scope. The product scope
applies to a foreign-produced
commodity specified in ECCN
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4,
r, or 3B002.c that meets the conditions
of either paragraph (k)(1)(i) or (ii) of this
section.
(i) ‘‘Direct product’’ of ‘‘technology’’
or ‘‘software.’’ A foreign-produced
commodity meets the product scope of
this paragraph (k) if the foreignproduced commodity is the ‘‘direct
product’’ of ‘‘technology’’ or ‘‘software’’
subject to the EAR and specified in
3D992 or 3E992 of the CCL; or
(ii) Product of a complete plant or
‘major component’ of a plant that is a
‘‘direct product.’’ A foreign-produced
commodity meets the product scope of
this paragraph if it meets either of the
following conditions:
(A) Is produced by any complete plant
or ‘major component’ of a plant that is
PO 00000
Frm 00024
Fmt 4701
Sfmt 4700
located outside the United States, when
the plant or ‘major component’ of a
plant, whether made in the United
States or a foreign country, itself is a
‘‘direct product’’ of U.S.-origin
‘‘technology’’ or ‘‘software’’ that is
specified in ECCN 3D001 (for 3B
commodities), 3D901, 3D991 (for 3B991
and 3B992), 3D992, 3D993, 3D994,
3E001 (for 3B commodities), 3E901 (for
3B903), 3E991 (for 3B991 or 3B992),
3E992, 3E993, or 3E994 of the CCL; or
(B) Contains a commodity produced
by any complete plant or ‘major
component’ of a plant that is located
outside the United States, when the
complete plant or ‘major component’ of
a plant, whether made in the U.S. or a
foreign country, itself is a ‘‘direct
product’’ of U.S.-origin ‘‘technology’’ or
‘‘software’’ that is specified in ECCN
3D001 (for 3B commodities), 3D901,
3D991 (for 3B991 and 3B992), 3D992,
3D993, 3D994, 3E001 (for 3B
commodities), 3E901 (for 3B903), 3E991
(for 3B991 or 3B992), 3E992, 3E993, or
3E994 of the CCL.
Note 3 to paragraph (k)(1)(ii)(B): The
product scope of paragraph (k)(1) is met if a
foreign-produced commodity contains an
integrated circuit that is produced by a
complete plant or ‘major component’ of a
plant that itself is a ‘‘direct product’’ of U.S.origin ‘‘technology’’ or ‘‘software’’ specified
in the ECCNs described in paragraph
(k)(1)(ii)(B). See Red Flag 26 in supplement
no. 3 to part 732 for additional guidance on
the scope of paragraph (k)(1). Production of
an integrated circuit includes fabrication of
the integrated circuit in a wafer, as well as
assembly, testing, and packaging of the
integrated circuit.
(2) Destination scope of the SME FDP
rule. A foreign-produced item meets the
destination scope of this paragraph
(k)(2) if there is ‘‘knowledge’’ that the
foreign-produced item is destined to
Macau or a destination in Country
Group D:5 of supplement no. 1 to part
740 of the EAR.
*
*
*
*
*
■ 6. Section 734.19 is revised to read as
follows:
§ 734.19 Transfer of access information
and export, reexport, and transfer (incountry) of software keys.
(a) To the extent an authorization
would be required to transfer
‘‘technology’’ or ‘‘software,’’ a
comparable authorization is required to
transfer ‘‘access information’’ if done
with ‘‘knowledge’’ that such transfer
would result in the release of such
‘‘technology’’ or ‘‘software’’ without a
required authorization.
Note 1 to paragraph (a): For purposes of
this section, a release of ‘‘software’’ includes
source code and object code.
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
(b) Software keys, also called software
license keys, that allow users the ability
to use the ‘‘software’’ or hardware, or
software keys that renew existing
‘‘software’’ or hardware use licenses, are
classified and controlled under the same
ECCNs on the CCL as the corresponding
‘‘software’’ or hardware to which they
provide access. If authorization is
required for the export, reexport, or
transfer (in-country) of the ‘‘software’’ or
hardware, the same level of
authorization is required for the
software key. If authorization is
obtained for the export, reexport, or
transfer (in-country) of the ‘‘software’’ or
hardware, that authorization also
applies to the corresponding software
license key. If no authorization was
required for the initial export of the
‘‘software’’ or hardware and the
associated software key, but a license
requirement is later imposed on the
‘‘software’’ or hardware, (e.g., a license
requirement is imposed because the end
user becomes listed on the Entity List in
supplement no. 4 to part 744), then
subsequent exports, reexports, or
transfers (in-country) of both the
‘‘software’’ and hardware, and the
associated software license key will be
subject to the new license requirement.
Note 2 to paragraph (b): This paragraph
does not apply to keys that unlock dormant
functionality in an item. However, in some
cases, changes to, or the addition of, features
may impact the classification of the item.
PART 736—GENERAL PROHIBITIONS
7. The authority citation for part 736
is revised to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; E.O.
12938, 59 FR 59099, 3 CFR, 1994 Comp., p.
950; E.O. 13020, 61 FR 54079, 3 CFR, 1996
Comp., p. 219; E.O. 13026, 61 FR 58767, 3
CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR
44025, 3 CFR, 2001 Comp., p. 783; E.O.
13338, 69 FR 26751, 3 CFR, 2004 Comp., p.
168; Notice of May 8, 2024, 89 FR 40355
(May 9, 2024); Notice of November 7, 2024,
89 FR 88867 (November 8, 2024).
8. Section 736.2 is amended by
revising paragraph (b)(3)(i) to read as
follows:
■
§ 736.2 General prohibitions and
determination of applicability.
khammond on DSK9W7S144PROD with RULES2
*
*
*
*
*
(b) * * *
(3) General Prohibition Three—
Foreign-direct product (FDP) rules. (i)
You may not, without a license or
license exception, export from abroad,
reexport, or transfer (in-country)
foreign-‘‘direct products’’ or other
foreign-produced items subject to the
EAR pursuant to § 734.9 if such items
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
are subject to a license requirement in
part 736, 742, 744, 746, or 764 of the
EAR.
*
*
*
*
*
■ 9. Supplement no. 1 to part 736 is
amended by revising paragraphs (d)(1),
(2), and (3), to read as follows:
Supplement No. 1 to Part 736—General
Orders
*
*
*
*
*
(d) * * *
(1) TGL—Less restricted SME ‘‘parts,’’
‘‘components,’’ or ‘‘equipment.’’ This TGL
only overcomes the license requirements
described in § 744.23(a)(4) of EAR when:
(i) Product scope. The items subject to the
EAR that are specified on the Commerce
Control List (CCL) in supplement no. 1 to
part 774 of the EAR, as follows:
(A) ECCNs that are designated as
controlled on the CCL only for AT reasons
(3A991, 3B992, and associated ‘‘software’’
and ‘‘technology’’); or
(B) ECCN 3B001.c.4, 3B993.b.1, c.2, c.3,
d.4, f.2, f.3, o.2, q.1, q.2, 3B994, 3D993.a (for
commodities specified in this paragraph),
3D993.b through d, 3D994, 3E993.a (for
commodities specified in this paragraph),
3E993.b, or 3E994; and
(ii) End-use scope. The recipient is
‘‘developing’’ or ‘‘producing’’ ‘‘parts,’’
‘‘components,’’ or ‘‘equipment’’ (as specified
in §§ 744.23(a)(4) and 742.6(a)(11)) of the
EAR) at the direction of a company that is
headquartered in the United States or a
destination specified in Country Group A:5
or A:6 and not majority-owned by an entity
headquartered in either Macau or a
destination specified in Country Group D:5.
(2) TGL—Advanced computing items. This
TGL only overcomes the license
requirements described in § 742.6(a)(6)(iii) of
the EAR when the criteria in paragraphs
(d)(2)(i) and either (d)(2)(ii)(A) or (d)(2)(ii)(B)
are met.
(i) Product scope. The items subject to the
EAR are specified in, either:
(A) ECCNs 3A001.z; 3A090.a and 3A090.b;
3D001 (for ‘‘software’’ for commodities
controlled by 3A001.z, 3A090.a and
3A090.b); 3E001 (for ‘‘technology’’ for
commodities controlled by 3A001.z, 3A090.a
and .b); 4A003.z; 4A004.z; 4A005.z; 4A090;
4D001 (for ‘‘software’’ for commodities
controlled by 4A003.z, 4A004.z, and
4A005.z); 4D090; 4E001 (for ‘‘technology’’ for
commodities controlled by 4A003.z, 4A004.z,
4A005.z, 4A090 or ‘‘software’’ specified by
4D001 (for 4A003.z, 4A004.z, and 4A005.z);
4D090; 5A002.z; 5A004.z; 5A992.z; 5D002.z;
5D992.z; 5E002 (for ‘‘technology’’ for
commodities controlled by 5A002.z or
5A004.z or ‘‘software’’ specified by 5D002
(for 5A002.z or 5A004.z commodities)); or
5E992 (for ‘‘technology’’ for commodities
controlled by 5A992.z or ‘‘software’’
controlled by 5D992.z) of the Commerce
Control List (CCL); or
(B) ECCN 3A090.c.
(ii) End-use scope—(A) For all items under
paragraph (d)(2)(i). Any item identified
under the paragraph (d)(2)(i) of this
supplement, may be exported, reexported, or
transferred (in-country) to or within a
PO 00000
Frm 00025
Fmt 4701
Sfmt 4700
96813
destination specified in Country Groups D:1,
D:4, or D:5 (and not specified in Country
Groups A:5 or A:6) or for 3A090.c to or
within Macau or a destination specified in
Country Group D:5 when either of the
following apply:
(1) The end user is located in, but is not
headquartered in, or whose ultimate parent
company is not headquartered in, Macau or
Country Group D:5 and the end use is to
continue or engage in the following activities:
integration, assembly (mounting), inspection,
testing, quality assurance, and distribution of
items covered by items specified in
paragraph (d)(2)(i); and
(2) The ultimate end user of these items is
located outside of destinations specified in
Country Groups D:1, D:4, or D:5 (and not
specified in Country Groups A:5 or A:6) by
entities not headquartered in or whose
ultimate parent company is not
headquartered in Macau or a destination
specified in Country Group D:5.
(B) Additional permitted ultimate end use
for 3A090.c. ECCN 3A090.c commodities are
authorized under this paragraph (d)(2)(ii) of
this General Order No. 4 for use in any
destination if the 3A090.c commodity is
incorporated into another commodity,
provided that the higher-level commodity is
not identified in paragraph (d)(2)(i) of this
General Order No. 4. If the higher-level
commodity is identified under paragraph
(d)(2)(i), of this General Order No. 4, then the
ultimate end use of these items is authorized
under this paragraph (d)(2)(ii) for
destinations other than those specified in
Country Groups D:1, D:4, or D:5 (and not
specified in Country Groups A:5 or A:6) by
entities not headquartered in, or whose
ultimate parent company is not
headquartered in, Macau or a destination
specified in Country Group D:5. Any
subsequent export, reexport, or transfer (incountry) of a 3A090.c commodity (regardless
of whether it was incorporated into a higherlevel commodity) would also need to comply
with any other applicable EAR license
requirements such as those based on the
classification (including, if relevant, the
higher-level commodity) and the end use and
parties to the transaction.
(3) Validity date follows:
(i) Paragraph (d)(1)(i)(A) expires on
December 31, 2026;
(ii) Paragraph (d)(1)(i)(B) expires on
December 31, 2026;
(iii) Paragraph (d)(2)(i)(A) expires on
December 31, 2025; and
(iv) Paragraph (d)(2)(i)(B) expires on
December 31, 2026.
*
*
*
*
*
PART 740—LICENSE EXCEPTIONS
10. The authority citation for part 740
continues to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; 22 U.S.C.
7201 et seq.; E.O. 13026, 61 FR 58767, 3 CFR,
1996 Comp., p. 228; E.O. 13222, 66 FR 44025,
3 CFR, 2001 Comp., p. 783.
11. Section 740.2 is amended by:
a. Revising paragraph (a)(9)(i) and
paragraph (a)(9)(ii) introductory text;
■
■
E:\FR\FM\05DER2.SGM
05DER2
96814
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
b. Adding a new note to paragraph
(a)(9)(ii).
The revisions and addition read as
follows:
12. Section 740.8 is amended by
revising paragraph (a) introductory text
to read as follows:
■
■
§ 740.8 Notified Advanced Computing
(NAC) and Advanced Computing Authorized
(ACA).
§ 740.2 Restrictions on all License
Exceptions.
*
*
*
*
(a) * * *
(9) * * *
(i) The item is controlled under ECCN
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4,
r, 3B002.c, 3B993, 3B994, or associated
software and technology in ECCN
3D001, 3D002, 3D003, 3D992, 3D993,
3D994, 3E001, 3E992, 3E993, or 3E994
and is being exported, exported from
abroad, reexported, or transferred (incountry) to or within either Macau or a
destination specified in Country Group
D:5 of supplement no. 1 to this part, and
the license exception is other than
License Exception GOV, restricted to
eligibility under the provisions of
§ 740.11(b).
(ii) The item is identified in paragraph
(a)(9)(ii)(A) or (B) of this section, is
being exported, reexported, or
transferred (in-country) to or within a
destination specified in Country Group
D:1, D:4, or D:5, excluding any
destination also specified in Country
Groups A:5 or A:6, or to an entity
headquartered in or whose ultimate
parent headquartered in, Macau or a
destination specified in Country Group
D:5, wherever located, and the license
exception is other than: TMP, restricted
to eligibility under the provisions of
§ 740.9(a)(6); NAC/ACA, under the
provisions of § 740.8; RPL, under the
provisions of § 740.10; GOV, restricted
to eligibility under the provisions of
§ 740.11(b); TSU under the provisions of
§ 740.13(a) and (c); or HBM under the
provisions of § 740.25. Items restricted
to eligibility only for the foregoing
license exceptions are:
*
*
*
*
*
khammond on DSK9W7S144PROD with RULES2
*
Note 1 to paragraph (a)(9)(ii): ECCN
3A090.c requires a license for exports,
reexports, and transfers (in-country) to or
within Macau or destinations specified in
Country Group D:5, but is still included
within the scope of this paragraph because it
generally shares the same EAR license
exception eligibility as other 3A090
commodities, except for NAC/ACA, under
the provisions of § 740.8., which ECCN
3A090.c is not eligible. An export, reexport,
or transfer (in-country) of an ECCN 3A090.c
commodity to a destination specified in
Country Groups D:1 or D:4 that is not
specified in Country Group D:5, may be made
under the No License Required (NLR)
designation, provided no part 744 or 746
license requirements are applicable.
*
*
*
VerDate Sep<11>2014
*
*
16:58 Dec 04, 2024
Jkt 265001
(a) Eligibility requirements. License
Exception NAC authorizes the export
and reexport of any item classified in
ECCN 3A090 (except for 3A090.c),
4A090, 3A001.z, 4A003.z, 4A004.z,
4A005.z, 5A002.z, 5A004.z, 5A992.z,
5D002.z, or 5D992.z, except for items
designed or marketed for use in a
datacenter and meeting the parameters
of 3A090.a, to Macau and Country
Group D:5 or an entity headquartered in,
or whose ultimate parent headquartered
in, Macau or a destination specified in
Country Group D:5, wherever located.
License Exception ACA authorizes the
export, reexport, and transfer (incountry) of any item classified in ECCN
3A090 (except for 3A090.c), 4A090,
3A001.z, 4A003.z, 4A004.z, 4A005.z,
5A002.z, 5A004.z, 5A992.z, 5D002.z, or
5D992.z, except for items designed or
marketed for use in a datacenter and
meeting the parameters of 3A090.a, to or
within any destination specified in
Country Groups D:1 and D:4 (except
Macau, a destination in Country Group
D:5, or an entity headquartered in, or
whose ultimate parent headquartered in,
Macau or a destination specified in
Country Group D:5, wherever located),
as well as transfers (in-country) within
Macau and destinations in Country
Group D:5. These license exceptions
may be used provided the export,
reexport, or transfer (in-country) meets
all of the applicable criteria identified
under this paragraph (a) and none of the
restrictions in paragraph (b) of this
section.
*
*
*
*
*
■ 13. Sections 740.25 and 740.26 are
added to read as follows:
§ 740.25 License Exception High
Bandwidth Memory (HBM).
(a) Scope. This License Exception
High Bandwidth Memory (HBM)
authorizes the export, reexport, or
transfer (in-country) of items specified
in ECCN 3A090.c on the Commerce
Control List (CCL) in supplement no. 1
to part 774 if all terms and conditions
within this section are met.
(b) Exporter, reexporter, transferor.
The exporter, reexporter, or transferor
must be headquartered in the United
States or a destination specified in
Country Group A:5 of supplement no. 1
to this part, without an ultimate parent
headquartered in Macau or a destination
specified in Country Group D:5 of
supplement no. 1 to this part.
PO 00000
Frm 00026
Fmt 4701
Sfmt 4700
(c) Conditions. The following exports,
reexports, or transfers (in-country) are
only authorized under this License
Exception HBM if the 3A090.c item has
a memory bandwidth density less than
3.3 GB/s/mm∧2 and both of the
following conditions apply:
(1) The 3A090.c items exported,
reexported, or transferred to or within
Macau or a destination specified in
Country Group D:5 must be directly
purchased by the designer of the copackaged commodity not otherwise
prohibited from receipt of the item; and
(2) The 3A090.c items must be
exported, reexported, or transferred (incountry) directly to the packaging site.
(i) For 3A090.c items exported,
reexported, or transferred (in-country) to
a U.S., or Country Group A:5 or A:6headquartered packaging site without an
ultimate parent headquartered in Macau
or a destination specified in Country
Group D:5 of supplement no. 1 to part
740:
(A) The packaging site must confirm
in writing to the producer of the chips
that the 3A090.c item was packaged and
exported, reexported, or transferred (incountry) to the specified designer of the
co-packaged commodity. This
confirmation is considered an ‘‘export
control document’’ and is subject to
recordkeeping requirements in part 762.
A copy of this record must be provided
to BIS upon request; and
(B) The finished, co-packaged
commodities must not exceed the
technical thresholds in ECCN 3A090,
unless packaging the item is permitted
under the Temporary General License
(TGL) specified in paragraph (d) of
General Order No. 4 in of supplement
no. 1 to part 736.
(ii) For 3A090.c items exported,
reexported, or transferred (in-country) to
any other packaging site, the finished,
co-packaged commodities must be sent
back to the exporter, reexporter, or
transferor for export, reexport, or
transfer (in-country) to the purchaser:
(A) Upon receipt of the finished, copackaged commodities, the exporter,
reexporter, transferor must confirm the
number of 3A090.c units contained
within the finished, co-packaged chips
received from the packaging site
matches the number of 3A090.c items
exported, reexported, or transferred (incountry) to the packaging site. This
confirmation is considered an ‘‘export
control document’’ and is subject to
recordkeeping requirements in part 762.
A copy of this record must be provided
to BIS upon request; and
(B) The finished, co-packaged
commodities must not exceed the
technical thresholds in ECCN 3A090.a
or 3A090.b.
E:\FR\FM\05DER2.SGM
05DER2
khammond on DSK9W7S144PROD with RULES2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
(d) Restrictions. The following
exports, reexports, or transfers (incountry) of 3A090.c items are not
authorized under this License Exception
HBM:
(1) To distributors.
(2) To intermediate consignees, unless
hired by the packaging site for freight
forwarding or customs clearance.
(3) To co-packaging at a ‘‘facility’’
located in Macau or a destination
specified in Country Group D:5 where
‘‘production’’ of ‘‘advanced-node ICs’’
occurs.
(e) Reporting requirement. In the
event that the exporter, reexporter, or
transferor identifies a discrepancy of
greater than 1 percent between the
number of 3A090.c units exported,
reexported, or transferred (in-country) to
the packaging site and the number of
3A090.c units contained within the
finished, co-packaged commodities
received from the packaging site under
paragraph (c)(2)(ii) of this section, this
generates a ‘Red Flag’ that must be
resolved before exporting, reexporting,
or transferring (in-country) the finished,
co-packaged commodities to the
designer of the co-packaged commodity
or engaging in any further exports,
reexports, or transfers (in-country) of
3A090.c items to the designer of the copackaged commodity or packaging site
involved in the transaction that raised
the Red Flag. If the Red Flag cannot be
resolved, then within 60 days of
identifying the discrepancy, the
exporter, reexporter, or transferor must
report the information in paragraph
(e)(1) to BIS consistent with the
requirements under paragraph (e)(2).
(1) Information required. (i) Date of
shipment;
(ii) Quantity exported and quantity
returned;
(iii) Name of Consignee or designer of
the co-packaged commodity;
(iv) Name and address of the
packaging site;
(v) End use; and
(vi) Explanation of measures already
taken or planned to resolve the Red
Flag.
(2) Submission requirements. Reports
must be provided in electronic form.
Recommended file formats for
electronic submission include
spreadsheets, tabular text or structured
text. Submitters may request other
reporting arrangements with BIS to
better reflect their business models.
Reports are to be sent electronically to
BIS at the email address: HBMReports@
bis.doc.gov with the email subject line
Attn: LE HBM Discrepancy Reports.
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
§ 740.26 License Exception Restricted
Fabrication ‘‘Facility’’ (RFF).
(a) Scope. License Exception
Restricted Fabrication ‘‘Facility’’ (RFF)
authorizes the export, reexport, export
from abroad, and transfer (in-country) of
items not specified in ECCNs 3B001,
3B002, 3B993, 3B994, 3D992, 3D993,
3D994, 3E992, 3E993, or 3E994.
Additionally, this license exception
does not overcome destination-based
license requirements in part 742, enduse based license requirements in other
sections of part 744, or license
requirements that apply to other entities
on the Entity List if other listed entities
that are not eligible for this license
exception are a party to the transaction.
(b) Definition. A restricted fabrication
‘‘facility’’ is an entity that is on the
Entity List in supplement no. 4 to part
744 of the EAR that has a reference to
§ 740.26 in the license requirement
column.
(c) Restrictions. License Exception
RFF is subject to the following
restrictions.
(1) Items may not be used for the
operation, installation, maintenance,
repair, overhaul, or refurbishing of items
specified in ECCNs 3B001.a.4, c, d, f.1,
f.5, k to n, p.2, p.4, r, 3B002.c, 3B993,
or 3B994 at a ‘restricted fabrication
facility’.
(2) The item may not be used to
produce ‘‘advanced-node integrated
circuits.’’
(d) Notification requirements.
Notifications must be sent to
EARReports@bis.doc.gov. Subject line
‘LE RFF Notification pursuant to (d)(1)’
for notifications required under (d)(1)
and with the subject line ‘LE RFF
Notification pursuant to (d)(2)’ for
notifications required under (d)(2) of
this section.
(1) 45-Days Prior. 45 days prior to
exporting, reexporting, exporting from
abroad, or transferring (in-country)
items eligible under this license
exception, a notification must be sent to
BIS that includes the end-user’s name
and address, description of item(s),
purchase price, and anticipated
shipping date of shipment.
(2) Within one business day. If you
gain ‘‘knowledge’’ that the end use has
changed to ‘‘advance-node integrated
circuit’’ ‘‘production,’’ you must notify
BIS within one business day.
(e) Reporting requirements. Reports
must be provided in electronic form.
Recommended file formats for
electronic submission include
spreadsheets, tabular text, or structured
text. Submitters may request other
reporting arrangements with BIS to
better reflect their business models.
Reports are to be sent electronically to
PO 00000
Frm 00027
Fmt 4701
Sfmt 4700
96815
BIS at the email address: EARReports@
bis.doc.gov. Subject line Attn: LE RFF
Installation Reports for paragraph (e)(1)
or Attn: LE RFF Annual Confirmation
Report for paragraph (e)(2) of this
section.
(1) Installation. Within 30 days of
installation of semiconductor
manufacturing equipment, you must
submit a report to BIS that includes the
end-user’s name and address,
description of equipment that was
installed, and date of installation. This
email should reference previous
notifications sent to BIS, including
notifications.
(2) Annual end-use confirmation. On
February 1 of each year that the
exporter, reexporter, or transferor is
continuing to provide service or for at
least for five years from the date of last
service, you must submit a report to BIS
that you have confirmed that the
installed semiconductor manufacturing
equipment is not being used in the
production of ‘‘advanced-node
integrated circuits.’’
*
*
*
*
*
PART 742—CONTROL POLICY—CCL
BASED CONTROLS
14. The authority citation for part 742
continues to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; 22 U.S.C.
3201 et seq.; 42 U.S.C. 2139a; 22 U.S.C. 7201
et seq.; 22 U.S.C. 7210; Sec. 1503, Pub. L.
108–11, 117 Stat. 559; E.O. 12058, 43 FR
20947, 3 CFR, 1978 Comp., p. 179; E.O.
12851, 58 FR 33181, 3 CFR, 1993 Comp., p.
608; E.O. 12938, 59 FR 59099, 3 CFR, 1994
Comp., p. 950; E.O. 13026, 61 FR 58767, 3
CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR
44025, 3 CFR, 2001 Comp., p. 783;
Presidential Determination 2003–23, 68 FR
26459, 3 CFR, 2004 Comp., p. 320; Notice of
November 7, 2024, 89 FR 88867 (November
8, 2024).
15. Section 742.4 is amended by:
(a) Revising paragraph (a)(4);
(b) Redesignating paragraphs
(a)(5)(ii)(B)(i) and (ii) as paragraphs
(a)(5)(ii)(B)(1) and (2); and
(c) Revising paragraph (b)(2).
The revisions read as follows:
■
§ 742.4
National security.
(a) * * *
(4) Certain semiconductor
manufacturing equipment and
associated software and technology—(i)
Scope. A license is required for exports,
reexports, exports from abroad, and
transfers (in-country) to or within either
Macau or a destination specified in
Country Group D:5 in supplement no. 1
to part 740 of the EAR of items specified
in 3B001.a.4, c, d, f.1, f.5, k to n, p.2,
p.4, r, 3B002.c, 3D992, or 3E992.
E:\FR\FM\05DER2.SGM
05DER2
96816
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
(ii) Exclusions. The license
requirements in this paragraph (a)(4) do
not apply to any of the following:
(A) Deemed exports or deemed
reexports.
(B) An item subject to the EAR
pursuant to §§ 734.4(a)(8) or 734.9(k) if
the item is reexported or exported from
abroad by an entity located in a country
specified in supplement no. 4 to this
part, and the entity is not headquartered
or have an ultimate parent company
headquartered in either Macau or a
destination specified in Country Group
D:5.
(C) An item subject to the EAR
pursuant to §§ 734.4(a)(8) or 734.9(k) if
the item is reexported or exported from
abroad by an entity located in a country
that has implemented equivalent
controls for items specified in paragraph
(a)(4)(i) of this section, and the entity is
not headquartered or have an ultimate
parent company headquartered in either
Macau or a destination specified in
Country Group D:5. For the purposes of
this paragraph, equivalent means the
item is listed on the country’s export
control list and the country applies the
same license review policy. To receive
assistance in determining countries with
equivalent controls, you may submit an
advisory opinion in accordance with
§ 748.3(c) of the EAR.
*
*
*
*
*
(b) * * *
(2) License applications for items
specified in paragraph (a)(4) of this
section will be reviewed consistent with
license review policies in § 744.23(d) of
the EAR. However, if § 744.23 does not
apply, applications will be reviewed on
a case-by-case basis if no license would
be required under part 744 of the EAR.
applications will be reviewed on a caseby-case basis if no license would be
required under part 744 of the EAR.
*
*
*
*
*
■ 16. Section 742.6 is amended by:
■ a. Revising paragraphs (a)(6)(i) and
(a)(6)(iii);
■ c. Adding paragraph (a)(11);
■ d. Revising paragraph (b)(10); and
■ e. Adding paragraph (b)(12).
The additions and revisions read as
follows:
khammond on DSK9W7S144PROD with RULES2
§ 742.6
Regional stability.
(a) * * *
(6) RS requirement that applies to
advanced computing and
semiconductor manufacturing items—(i)
Exports, reexports, transfers (in-country)
to or within Macau or Country Group
D:5—(A) Certain semiconductor
manufacturing equipment and
associated software and technology—(1)
Scope. A license is required for exports,
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
reexports, and transfers (in-country) to
or within either Macau or a destination
specified in Country Group D:5 in
supplement no. 1 to part 740 of the EAR
of items specified in 3B001.a.4, c, d, f.1,
f.5, k to n, p.2, p.4, r, 3B002.c, 3D992,
or 3E992.
(2) Exclusions. The license
requirements in this paragraph
(a)(6)(i)(A) do not apply to any of the
following:
(i) Deemed exports or deemed
reexports.
(ii) An item subject to the EAR
pursuant to §§ 734.4(a)(8) or 734.9(k) if
the item is reexported or exported from
abroad by an entity located in a country
specified in supplement. no. 4 to this
part, and the entity is not headquartered
or have an ultimate parent company
headquartered in Country Group D:5 or
Macau.
(iii) An item subject to the EAR
pursuant to §§ 734.4(a)(8) or 734.9(k) if
the item is reexported or exported from
abroad by an entity located in a country
that has implemented equivalent
controls for items specified in paragraph
(a)(6)(i)(A)(1) of this section, and the
entity is not headquartered or have an
ultimate parent company headquartered
in Country Group D:5 or Macau. For the
purposes of this paragraph, ‘equivalent’
means the item is listed on the country’s
export control list and the country
applies the same license review policy.
To receive assistance in determining
countries with equivalent controls, you
may submit an advisory opinion request
in accordance with § 748.3(c) of the
EAR.
(B) High Bandwidth Memory (HBM).
A license is required for items specified
in ECCNs 3A090.c, 3D001 (for 3A090.c),
and 3E001 (for 3A090.c) when exported,
reexported, or transferred (in-country) to
or within Macau or a destination
specified in Country Group D:5 in
supplement no. 1 to part 740 of the
EAR. The license requirements in this
paragraph (a)(6)(i)(B) do not apply to
deemed exports or deemed reexports.
*
*
*
*
*
(iii) Exports, reexports, transfers (incountry) to or within destinations
specified in Country Groups D:1, D:4,
and D:5, excluding destinations also
specified in Country Groups A:5 or A:6.
A license is required for items specified
in ECCNs 3A001.z; 3A090 (except for
3A090.c); 3D001 (for ‘‘software’’ for
commodities controlled by 3A001.z,
3A090 (except for 3A090.c)); 3E001 (for
‘‘technology’’ for commodities
controlled by 3A001.z, 3A090 (except
for 3A090.c)); 4A003.z; 4A004.z;
4A005.z; 4A090; 4D001 (for ‘‘software’’
for commodities controlled by 4A003.z,
PO 00000
Frm 00028
Fmt 4701
Sfmt 4700
4A004.z, and 4A005.z); 4D090 (for
‘‘software’’ for commodities controlled
by 4A090); 4E001 (for ‘‘technology’’ for
commodities controlled by 4A003.z,
4A004.z, 4A005.z, 4A090 or ‘‘software’’
specified by 4D001 (for 4A003.z,
4A004.z, and 4A005.z), 4D090 (for
‘‘software’’ for commodities controlled
by 4A090)); 5A002.z; 5A004.z; 5A992.z;
5D002.z; 5D992.z; 5E002 (for
‘‘technology’’ for commodities
controlled by 5A002.z or 5A004.z or
‘‘software’’ specified by 5D002 (for
5A002.z or 5A004.z commodities)); or
5E992 (for ‘‘technology’’ for
commodities controlled by 5A992.z or
‘‘software’’ controlled by 5D992.z) being
exported, reexported, or transferred (incountry) to or within a destination
specified in Country Groups D:1, D:4,
and D:5, excluding destinations also
specified in Country Groups A:5 or A:6,
in supplement no. 1 to part 740 of the
EAR.
*
*
*
*
*
(11) License requirement for ECCN
3B993, 3B994, 3D993, 3D994, 3E993,
and 3E994. A license is required for the
export, reexport, or transfer (in-country)
of items specified in ECCN 3B993,
3B994, 3D993, 3D994, 3E993, or 3E994
to a Footnote 5 designated entity listed
on the Entity List in supplement no. 4
to part 744 of the EAR when the item
is not subject to the EA pursuant to
§§ 734.4(a)(9) or 734.9(e)(3) of the EAR.
See § 744.11(a)(2)(v)(a) for license
requirements for these items when
subject to the EAR pursuant to
§§ 734.4(a)(9) and 734.9(e)(3) of the
EAR.
(b) * * *
(10) Advanced computing and
semiconductor manufacturing items—(i)
License review policy for paragraphs
(a)(6)(i)(A) and (ii) of this section.
License applications for items specified
in paragraphs (a)(6)(i)(A) and (ii) of this
section will be reviewed consistent with
license review policies in § 744.23(d) of
the EAR. However, if § 744.23 does not
apply, applications will be reviewed on
a case-by-case basis if no license would
be required under part 744 of the EAR.
(ii) License review policy for
paragraph (a)(6)(i)(B) of this section (for
3A090.c). There is a presumption of
approval review policy for license
applications for items specified in
paragraph (a)(6)(i)(B) to or within Macau
or a destination specified in Country
Group D:5 in supplement no. 1 to part
740 of the EAR for entities neither
headquartered in nor whose ultimate
parent company is headquartered in
either Macau or a destination specified
in Country Group D:5. There is a
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
presumption of denial policy for all
other license applications.
(iii) License review policy for
paragraph (a)(6)(iii) of this section. (A)
License applications will be reviewed
under a presumption of approval for
export, reexport, or transfer (in-country)
of items specified in paragraph (a)(6)(iii)
to or within destinations other than
Country Group D:5 or Macau or to
entities not headquartered in or whose
ultimate parent company is not
headquartered in destinations in
Country Group D:5 or Macau. (B)
License applications will be reviewed
under a presumption of denial for the
export, reexport, or transfer (in-country)
of items specified in paragraph (a)(6)(iii)
of this section to or within destinations
in Country Group D:5 or Macau, or to
an entity headquartered in or whose
ultimate parent company is
headquartered in a destination in
Country Group D:5 or Macau, unless
either of the following apply, in which
case they will be subject to a case-bycase license review policy if either of
the following apply:
(1) The commodity meets the
parameters of 3A090.a and is not
designed or marketed for use in a
datacenter; or
(2) The commodity meets the
parameters of 3A090.b and is designed
or marketed for use in a datacenter.
*
*
*
*
*
(12) License review policy for
paragraph (a)(11). The license review
policy for items subject to a license
pursuant to paragraph (a)(11) of this
section will be reviewed as specified in
the license review policy column for the
listed entity. See also § 744.11(a)(2)(v) of
the EAR.
*
*
*
*
*
■ 17. Supplement no. 4 to part 742 is
added to read as follows:
khammond on DSK9W7S144PROD with RULES2
Supplement No. 4 to Part 742—
Countries Excluded From Certain
Semiconductor Manufacturing
Equipment License Requirements
Australia
Austria
Belgium
Bulgaria
Canada
Croatia
Czech Republic
Denmark
Estonia
Finland
France
Germany
Greece
Hungary
Iceland
Ireland
Italy
Japan
VerDate Sep<11>2014
16:58 Dec 04, 2024
Latvia
Lithuania
Luxembourg
Netherlands
New Zealand
Norway
Poland
Portugal
Romania
Slovakia
Slovenia
Spain
Sweden
Switzerland
United Kingdom
*
*
*
*
*
PART 744—CONTROL POLICY: ENDUSER AND END-USE BASED
18. The authority citation for part 744
is revised to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; 22 U.S.C.
3201 et seq.; 42 U.S.C. 2139a; 22 U.S.C. 7201
et seq.; 22 U.S.C. 7210; E.O. 12058, 43 FR
20947, 3 CFR, 1978 Comp., p. 179; E.O.
12851, 58 FR 33181, 3 CFR, 1993 Comp., p.
608; E.O. 12938, 59 FR 59099, 3 CFR, 1994
Comp., p. 950; E.O. 13026, 61 FR 58767, 3
CFR, 1996 Comp., p. 228; E.O. 13099, 63 FR
45167, 3 CFR, 1998 Comp., p. 208; E.O.
13222, 66 FR 44025, 3 CFR, 2001 Comp., p.
783; E.O. 13224, 66 FR 49079, 3 CFR, 2001
Comp., p. 786; Notice of September 18, 2024,
89 FR 77011 (September 20, 2024); Notice of
November 7, 2024, 89 FR 88867 (November
8, 2024).
19. Section 744.6 is amended by
revising paragraph (c)(2)(iii) to read as
follows:
■
§ 744.6 Restrictions on specific activities
of ‘‘U.S. persons.’’
*
*
*
*
*
(c) * * *
(2) * * *
(iii) Semiconductor manufacturing
equipment. To or within either Macau
or a destination specified in Country
Group D:5, any item not subject to the
EAR and meeting the parameters of
ECCNs 3B001.a.4, c, d, f.1, f.5, k to n,
p.2, p.4, r, 3B002.c, 3D992, or 3E992
regardless of end use or end user.
*
*
*
*
*
■ 20. Section 744.11 is amended by
adding paragraph (a)(2)(v), to read as
follows:
§ 744.11 License requirements that apply
to entities acting or at significant risk of
acting contrary to the national security or
foreign policy interests of the United States.
*
*
*
*
*
(a) * * *
(2) * * *
(v) Footnote 5 entities—(A) License
requirement. You may not, without a
license, reexport, export from abroad, or
transfer (in-country) to or within any
destination or to any end user or party
Jkt 265001
PO 00000
Frm 00029
Fmt 4701
Sfmt 4700
96817
any foreign-produced item subject to the
EAR pursuant to §§ 734.4(a)(9) or
734.9(e)(3), for each of the following
paragraphs (A)(1) through (A)(4):
(1) Exports from abroad or reexports
from all countries. A license is required
for commodities specified in ECCN
3B993 when exported from abroad or
reexported by an entity headquartered
in, or whose ultimate parent company is
headquartered in, Macau or a
destination specified in Country Group
D:5.
(2) Exports from abroad or reexports
from countries in Country Group A:5
that are not in supplement no. 4 to part
742. A license is required for the export
from abroad or reexport from countries
specified in Country Group A:5 that are
not in supplement no. 4 to part 742 of
commodities specified in ECCN 3B993
if the commodity is not subject to
equivalent controls by the relevant
country.
(3) Exports from abroad or reexports
from all countries not listed in Country
Group A:5. Exports from abroad or
reexports from all countries not listed in
Country Group A:5, as follows:
(i) A license is required for
commodities specified in ECCNs 3B001
(except 3B001.a.4, c, d, f.1, f.5, g, h, k
to n, p.2, p.4, r), 3B002 (except 3B002.c),
3B611, 3B903, 3B991 (except
3B991.b.2.a through 3B991.b.2.b),
3B992, 3B993, or 3B994 by an entity
that is headquartered or whose ultimate
parent company is headquartered in a
country not specified in supplement no.
4 to part 742.
(ii) A license is required for
commodities specified in ECCN 3B993
by an entity headquartered or whose
ultimate parent company is
headquartered in a country specified in
supplement no. 4 to part 742 of this
section.
(4) Transfers (in-country). The
commodity is to be transferred within
the country of the entity specified by
§ 734.9(e)(3)(ii):
(i) By an entity whose ultimate parent
company is headquartered in a country
not described in supplement no. 4 to
part 742 and the entity is transferring a
commodity specified in ECCNs 3B001
(except 3B001.a.4, c, d, f.1, f.5, g, h, k
to n, p.2, p.4, or r), 3B002 (except
3B002.c), 3B611, 3B903, 3B991 (except
3B991.b.2.a through 3B991.b.2.b),
3B992, 3B993, or 3B994; or
(ii) By an entity whose ultimate parent
company is headquartered in a country
described in supplement no. 4 to part
742 destination and the entity is
transferring a commodity specified in
ECCN 3B993.
(B) License review policy. The license
review policy is set forth in the entry of
E:\FR\FM\05DER2.SGM
05DER2
96818
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
the Entity List in supplement no. 4 to
this part for each entity with a Footnote
5 designation. Unless otherwise stated
in the license review policy column of
the specific entity, there is a case-bycase license review policy for items
subject to the license requirements of
this section where there is a foreignmade item that is not subject to the
license requirements of this section and
performs the same function as an item
subject to the EAR license requirements
of this section.
*
*
*
*
*
■ 21. Section 744.23 is amended by:
■ a. Adding paragraph (a)(2)(iii) and
(iv); and
■ b. Revising paragraphs (a)(3)(i),
(a)(3)(ii) introductory text, (a)(3)(ii)(D).
and (a)(4).
The additions and revisions read as
follows:
§ 744.23 ‘‘Supercomputer,’’ ‘‘advancednode integrated circuits,’’ and
semiconductor manufacturing equipment
end use controls.
khammond on DSK9W7S144PROD with RULES2
*
*
*
*
*
(a) * * *
(2) * * *
(iii) Design of ‘‘advanced-node ICs’’.
Any Electronic Computer Aided Design
(ECAD) or Technology Computer Aided
Design (TCAD) ‘‘software’’ and
‘‘technology’’ subject to the EAR when
you ‘‘know’’ it will be used in the
design of an ‘‘advanced-node integrated
circuit’’ that will be ‘‘produced’’ in
Macau or a destination specified in
Country Group D:5 in supplement no. 1
to part 740 of the EAR.
(iv) ‘‘Advanced Node IC’’ exclusion.
Items specified in paragraphs (a)(2)(i)
and (ii) destined to entities designated
with a Footnote 5 are not subject to the
license requirements in this section.
(3) * * *
(i) ECCNs 3A090, 4A090, and .z items
destined to entities headquartered in, or
whose ultimate parent company is
headquartered in, either Macau or a
destination specified in Country Group
D:5 in certain destinations.
(A) Any item subject to the EAR and
specified in ECCNs 3A001.z, 3A090
(except for 3A090.c), 4A003.z, 4A004.z,
4A005.z, 4A090, 5A002.z, 5A004.z,
5A992.z, 5D002.z, or 5D992.z destined
to any destination other than those
specified in Country Groups D:1, D:4, or
D:5 (excluding any destination also
specified in Country Groups A:5 or A:6)
for an entity that is headquartered in, or
whose ultimate parent company is
headquartered in, either Macau or a
destination specified in Country Group
D:5 (e.g., a PRC-headquartered cloud or
data server provider located outside of
Country Groups D:1, D:4, or D:5
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
(excluding any destination also
specified in Country Groups A:5 or
A:6)), or
(B) Any item subject to the EAR and
specified in ECCN 3A090.c destined to
any destination other than Macau or
those specified in Country Group D:5,
for an entity that is headquartered in, or
whose ultimate parent company is
headquartered in, either Macau or a
destination specified in Country Group
D:5.
(ii) ECCN 3E001 (for 3A090, except
for 3A090.c) ‘‘technology’’ when it
meets all of the following:
*
*
*
*
*
(D) The ‘‘technology’’ is for the
‘‘production’’ of commodities or
software specified in ECCN 3A001.z,
3A090 (except for 3A090.c), 4A003.z,
4A004.z, 4A005.z, 4A090, 5A002.z,
5A004.z, or 5A992.z.
(4) Semiconductor manufacturing
equipment (SME) and ‘‘components,’’
‘‘assemblies,’’ and ‘‘accessories.’’ A
license is required for export, reexport,
or transfer (in-country) if paragraph
(a)(4)(i) or (ii) of this section applies.
(i) Directly destined to Macau and
Country Group D:5. Any item subject to
the EAR and specified on the CCL when
destined to or within either Macau or a
destination specified in Country Group
D:5 for the ‘‘development’’ or
‘‘production’’ of ‘‘equipment,’’
‘‘components,’’ ‘‘assemblies,’’ or
‘‘accessories’’ specified in ECCNs 3B001
(except 3B001.g and .h), 3B002, 3B611,
3B903, 3B991 (except 3B991.b.2.a
through 3B991.b.2.b), 3B992, 3B993,
3B994 or associated ‘‘software’’ and
‘‘technology’’ in 3D or 3E of the CCL.
(ii) Indirect exports, reexports, or
transfers (in-country). Any item subject
to the EAR and specified on the CCL for
export, reexport, or transfer (in-country),
if all of the following apply:
(A) The item (either in its original
form or as subsequently incorporated
into a foreign-made item) is for
‘‘development’’ or ‘‘production’’ of a
foreign-made item, whether subject to
the EAR or not, that is specified in an
ECCN listed in paragraph (a)(4)(i) of this
section (and not excepted by that
paragraph); and
(B) The ‘‘development’’ or
‘‘production’’ is by an entity
headquartered in, or whose ultimate
parent is headquartered in, Macau or a
destination specified in Country Group
D:5.
direction of an entity headquartered in the
United States or a destination specified in
Country Group A:5 or A:6, refer to General
Order No. 4 in supp. no. 1 to part 736
(Temporary General License—Less restricted
SME ‘‘parts,’’ ‘‘components,’’ or
‘‘equipment’’).
Note 1 to paragraph (a)(4): For transactions
involving ‘‘development’’ or ‘‘production’’ in
Macau or a destination specified in Country
Group D:5 by an entity that is headquartered
in Macau or a destination specified in
Country Group D:5, but the ‘‘development’’
or ‘‘production’’ is undertaken at the
PART 770—INTERPRETATIONS
PO 00000
Frm 00030
Fmt 4701
Sfmt 4700
*
*
*
*
*
PART 758—EXPORT CLEARANCE
REQUIREMENTS
22. The authority citation for part 758
continues to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; E.O.
13222, 66 FR 44025, 3 CFR, 2001 Comp., p.
783.
23. Section 758.6 is amended by
revising paragraph (a)(2) to read as
follows:
■
§ 758.6 Destination control statement and
other information furnished to consignees.
(a) * * *
(2) The ECCN(s) for any 3A001.z,
3A090, 4A003.z, 4A004.z, 4A005.z,
4A090, 5A002.z, 5A004.z, 5A992.z,
9x515 or ‘‘600 series’’ ‘‘items’’ being
shipped (i.e., exported in tangible form).
For the seven ECCNs with a .z
paragraph, the requirement to include
the classification only applies to
commodities specified under the .z
paragraphs. If the commodity is
specified under any other paragraph in
one of those seven ECCNs, then the
requirement under this paragraph is not
applicable. For ECCN 3A090, identify
the commodity as either 3A090.a, .b, or
.c.
*
*
*
*
*
PART 762—RECORDKEEPING
24. The authority citation for part 762
continues to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; E.O.
13222, 66 FR 44025, 3 CFR, 2001 Comp., p.
783.
25. Section 762.2 is amended by
adding paragraph (b)(56) and (57) to
read as follows:
■
§ 762.2
Records to be retained.
*
*
*
*
*
(b) * * *
(56) § 740.25, License Exception
HBM.
(57) § 740.26, License Exception RFF.
*
*
*
*
*
26. The authority citation for part 770
continues to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; E.O.
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
13222, 66 FR 44025, 3 CFR, 2001 Comp., p.
783.
(ii) A memory density greater than
0.288 gigabits per square millimeter.
27. Section 770.2 is amended by
revising paragraph (o)(2)(i) to read as
follows:
Note 1 to definition of ‘‘Advanced-Node
Integrated Circuits’’: For the purposes of
paragraph (1) of this definition, the term
technology node refers to the Logic Industry
‘‘Node Range’’ figure described in the
International Roadmap for Devices and
Systems, 2016 edition (‘‘More Moore’’ White
Paper), available at: https://irds.ieee.org/
images/files/pdf/2016_MM.pdf.
■
§ 770.2
Item interpretations.
*
*
*
*
*
(o) * * *
(2) * * *
(i) Any utilized existing commercial
‘‘software’’ or ‘‘technology’’ specified
under ECCNs 3D991, 3D992, 3D993,
3E001, 3E991, 3E992, 3E993, 9D515.d,
9D515.e, 9E515.d or 9E515.e does not
meet the ‘‘required’’ standard (as
defined in part 772 of the EAR) of any
other ECCN on the CCL; and
Note 1 to paragraph (o)(2)(i): The use of
existing commercial ‘‘software’’ or
‘‘technology’’ by or for the USG for the
purposes described in paragraph (o)(1) of this
section does not, in and of itself, establish the
‘‘required’’ standard to meet the
specifications of any ECCN on the CCL.
Note 2 to definition of ‘‘Advanced-Node
Integrated Circuits’’: For the purposes of
paragraph (3) of this definition, the term
memory density refers to the capacity of the
package or stack comprising the DRAM
integrated circuit measured in gigabytes
divided by the footprint of the package or
stack measured in square millimeters. In the
case where a stack is contained in a package,
use the area of the package. Cell area is
defined as Wordline*Bitline (which takes into
consideration both transistor and capacitor
dimensions).
*
*
*
*
*
*
PART 772—DEFINITIONS OF TERMS
28. The authority citation for part 772
continues to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; E.O.
13222, 66 FR 44025, 3 CFR, 2001 Comp., p.
783.
29. Section 772.1 is amended by
revising the definitions for ‘‘access
information’’ and ‘‘Advanced-Node
Integrated Circuit’’ to read as follows:
■
§ 772.1 Definitions of terms as used in the
Export Administration Regulations (EAR).
khammond on DSK9W7S144PROD with RULES2
*
*
*
*
*
Access information. For purposes of
§ 734.19(a), information that allows
access to encrypted technology or
encrypted software in an unencrypted
form. Examples include decryption
keys, network access codes, and
passwords.
*
*
*
*
*
Advanced-Node Integrated Circuits
(Advanced-Node IC). For parts 734 and
744 of the EAR, ‘‘advanced-node
integrated circuits’’ include integrated
circuits that meet any of the following
criteria:
(1) Logic integrated circuits using a
non-planar transistor architecture or
with a ‘‘production’’ ‘technology node’
of 16/14 nanometers or less;
(2) NOT AND (NAND) memory
integrated circuits with 128 layers or
more; or
(3) Dynamic random-access memory
(DRAM) integrated circuits having:
(i) A memory cell area of less than
0.0019 mm2; or
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
*
*
*
*
PART 774—THE COMMERCE
CONTROL LIST
30. The authority citation for part 774
continues to read as follows:
■
Authority: 50 U.S.C. 4801–4852; 50 U.S.C.
4601 et seq.; 50 U.S.C. 1701 et seq.; 10 U.S.C.
8720; 10 U.S.C. 8730(e); 22 U.S.C. 287c, 22
U.S.C. 3201 et seq.; 22 U.S.C. 6004; 42 U.S.C.
2139a; 15 U.S.C. 1824; 50 U.S.C. 4305; 22
U.S.C. 7201 et seq.; 22 U.S.C. 7210; E.O.
13026, 61 FR 58767, 3 CFR, 1996 Comp., p.
228; E.O. 13222, 66 FR 44025, 3 CFR, 2001
Comp., p. 783.
31. Supplement no. 1 to part 774 is
amended by:
■ a. Revising ECCNs 3A090, 3B001,
3B002, 3B991, and 3B992;
■ b. Adding ECCNs 3B993 and 3B994;
■ c. Revising ECCNs 3D001 and 3D002;
■ d. Adding ECCNs 3D992, 3D993,
3D994;
■ e. Revising ECCN 3E001; and
■ b. Adding ECCNs 3E992, 3E993, and
3E994.
The additions and revisions read as
follows:
■
Supplement No. 1 to Part 774—the
Commerce Control List
*
*
*
*
*
3A090 Integrated circuits as follows (see
List of Items Controlled).
License Requirements
Reason for Control: RS, AT
PO 00000
Frm 00031
Fmt 4701
Sfmt 4700
Control(s)
RS applies to entire
entry, except
3A090.c.
RS applies to
3A090.c.
AT applies to entire
entry.
96819
Country chart
(see Supp. No. 1
to part 738)
To or within destinations specified in
Country Groups
D:1, D:4, and D:5
of supplement no. 1
to part 740 of the
EAR, excluding any
destination also
specified in Country
Groups A:5 or A:6.
See
§ 742.6(a)(6)(iii) of
the EAR.
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.6(a)(6)(i)(B)
of the EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
LVS: N/A
GBS: N/A
NAC/ACA: Yes, for 3A090.a, if the item is not
designed or marketed for use in datacenters
and has a ’total processing performance’ of
4800 or more; yes, for 3A090.b, if the item
is designed or marketed for use in
datacenters. N/A for 3A090.c.
HBM: Yes, for 3A090.c. See § 740.25 of the
EAR.
List of Items Controlled
Related Controls: (1) See ECCNs 3D001,
3E001, 5D002.z, and 5D992.z for associated
technology and software controls. (2) See
ECCNs 3A001.z, 5A002.z, 5A004.z, and
5A992.z.
Related Definitions: N/A
Items:
a. Integrated circuits having one or more
digital processing units having either of the
following:
a.1. A ‘total processing performance’ of
4800 or more, or
a.2. A ‘total processing performance’ of
1600 or more and a ‘performance density’ of
5.92 or more.
b. Integrated circuits having one or more
digital processing units having either of the
following:
b.1. A ’total processing performance’ of
2400 or more and less than 4800 and a
’performance density’ of 1.6 or more and less
than 5.92, or
b.2. A ‘total processing performance’ of
1600 or more and a ‘performance density’ of
3.2 or more and less than 5.92.
Note 1 to 3A090.a and 3A090.b: 3A090.a
and 3A090.b do not apply to items that are
not designed or marketed for use in
datacenters and do not have a ‘total
processing performance’ of 4800 or more. For
3A090.a and 3A090.b items that are not
designed or marketed for use in datacenters
and that have a ‘total processing
E:\FR\FM\05DER2.SGM
05DER2
96820
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
performance’ of 4800 or more, see license
exceptions NAC and ACA.
Note 2 to 3A090.a and 3A090.b: Integrated
circuits specified by 3A090 include graphical
processing units (GPUs), tensor processing
units (TPUs), neural processors, in-memory
processors, vision processors, text processors,
co-processors/accelerators, adaptive
processors, field-programmable logic devices
(FPLDs), and application-specific integrated
circuits (ASICs). Examples of integrated
circuits are in the Note to 3A001.a.
khammond on DSK9W7S144PROD with RULES2
Note 3 to 3A090.a and 3A090.b: For
integrated circuits (ICs) that are excluded
from ECCN 3A090 under Note 2 or 3 to
3A090, those ICs are also not applicable for
classifications made under ECCNs 3A001.z,
4A003.z, 4A004.z, 4A005.z, 4A090, 5A002.z,
5A004.z, 5A992.z, 5D002.z, or 5D992.z
because those other CCL classifications are
based on the incorporation of an integrated
circuit (IC) that meets the control parameters
under ECCN 3A090 or otherwise meets or
exceeds the control parameters or ECCNs
3A090 or 4A090. The performance
parameters under ECCN 3A090.c are not
used for determining whether an item is
classified in a .z ECCN. See the Related
Controls paragraphs of ECCNs 3A001.z,
4A003.z, 4A004.z, 4A005.z, 4A090, 5A002.z,
5A004.z, 5A992.z, 5D002.z, or 5D992.z.
Technical Notes to 3A090.a and 3A090.b:
1. ‘Total processing performance’ (‘TPP’) is 2
× ‘MacTOPS’ × ‘bit length of the operation’,
aggregated over all processing units on the
integrated circuit.
a. For purposes of 3A090, ‘MacTOPS’ is the
theoretical peak number of Tera (1012)
operations per second for multiplyaccumulate computation (D = A × B + C).
b. The 2 in the ‘TPP’ formula is based on
industry convention of counting one
multiply-accumulate computation, D = A × B
+ C, as 2 operations for purpose of
datasheets. Therefore, 2 × MacTOPS may
correspond to the reported TOPS or FLOPS
on a datasheet.
c. For purposes of 3A090, ‘bit length of the
operation’ for a multiply-accumulate
computation is the largest bit-length of the
inputs to the multiply operation.
d. Aggregate the TPPs for each processing
unit on the integrated circuit to arrive at a
total. ’TPP’ = TPP1 + TPP2 + . . . . + TPPn
(where n is the number or processing units
on the integrated circuit).
2. The rate of ‘MacTOPS’ is to be
calculated at its maximum value theoretically
possible. The rate of ‘MacTOPS’ is assumed
to be the highest value the manufacturer
claims in annual or brochure for the
integrated circuit. For example, the ‘TPP’
threshold of 4800 can be met with 600 tera
integer operations (or 2 × 300 ‘MacTOPS’) at
8 bits or 300 tera FLOPS (or 2 × 150
‘MacTOPS’) at 16 bits. If the integrated
circuit (IC) is designed for MAC computation
with multiple bit lengths that achieve
different ‘TPP’ values, the highest ‘TPP’ value
should be evaluated against parameters in
3A090.
3. For integrated circuits specified by
3A090 that provide processing of both sparse
and dense matrices, the ‘TPP’ values are the
values for processing of dense matrices (e.g.,
without sparsity).
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
4. ‘Performance density’ is ‘TPP’ divided by
‘applicable die area’. For purposes of 3A090,
‘applicable die area’ is measured in
millimeters squared and includes all die area
of logic dies manufactured with a process
node that uses a non-planar transistor
architecture.
c. High bandwidth memory (HBM) having
a ‘memory bandwidth density’ greater than 2
gigabytes per second per square millimeter.
Technical note to 3A090.c: ‘Memory
bandwidth density’ is the memory bandwidth
measured in gigabytes per second divided by
the area of the package or stack measured in
square millimeters. In the case where a stack
is contained in a package, use the memory
bandwidth of the packaged device and the
area of the package. High bandwidth memory
(HBM) includes dynamic random access
memory integrated circuits, regardless of
whether they conform to the JEDEC standards
for high bandwidth memory, provided they
have a ‘memory bandwidth density’ greater
than 2 gigabytes per second per square
millimeter. This control does not cover copackaged integrated circuits with both HBM
and logic integrated circuit where the
dominant function of the co-packaged
integrated circuit is processing. It does
include HBM permanently affixed to a logic
integrated circuit designed as a control
interface and incorporating a physical layer
(PHY) function.
*
*
*
*
*
3B001 Equipment for the manufacturing of
semiconductor devices, materials, or
related equipment, as follows (see List of
Items Controlled) and ‘‘specially
designed’’ ‘‘components’’ and
‘‘accessories’’ therefor.
Reason for Control: NS, RS, AT
NS applies to
3B001.c.1.a,
3B001.c.1.c, and
3B001.q.
RS applies to
3B001.c.1.a,
3B001.c.1.c, and
3B001.q.
NS applies to
3B001.a.1 to a.3,
b, e, f.2 to f.4, g to
j.
NS applies to
3B001.a.4, c, d, f.1,
f.5, k to n, p.2, p.4,
r.
PO 00000
Frm 00032
Fmt 4701
RS applies to
3B001.a.4, c, d, f.1,
f.5, k to n, p.2, p.4,
r.
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.6(a)(6) of the
EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
LVS: $500, except semiconductor
manufacturing equipment specified in
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r.
GBS: Yes, except a.3 (molecular beam
epitaxial growth equipment using gas
sources), c.1.a (Equipment designed or
modified for isotropic dry etching), c.1.c
(Equipment designed or modified for
anisotropic dry etching), .e (automatic
loading multi-chamber central wafer
handling systems only if connected to
equipment controlled by 3B001.a.3, or .f),
.f (lithography equipment) and .q (‘‘EUV’’
masks and reticles designed for integrated
circuits, not specified by 3B001.g, and
having a mask ‘‘substrate blank’’ specified
by 3B001.j).
IEC: Yes, for 3B001.c.1.a, c.1.c, and .q, see
§ 740.2(a)(22) and § 740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be
used to ship 3B001.c.1.a, c.1.c, and .q to
any of the destinations listed in Country
Group A:5 or A:6 (See supplement no. 1 to
part 740 of the EAR).
List of Items Controlled
License Requirements
Control(s)
Control(s)
Country chart
(see Supp. No. 1
to part 738)
Worldwide control.
See § 742.4(a)(5)
and (b)(10) of the
EAR.
Worldwide control.
See § 742.6(a)(10)
and (b)(11) of the
EAR.
NS Column 2.
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.4(a)(4) of the
EAR.
Sfmt 4700
Related Controls: See also 3B903 and 3B991.
See ECCNs 3D001, 3D992, 3E001, and
3E992 for related ‘‘software’’ and
‘‘technology’’ controls.
Related Definitions: N/A
Items:
a. Equipment designed for epitaxial growth
as follows:
a.1. Equipment designed or modified to
produce a layer of any material other than
silicon with a thickness uniform to less than
±2.5% across a distance of 75 mm or more;
Note: 3B001.a.1 includes atomic layer
epitaxy (ALE) equipment.
a.2. Metal Organic Chemical Vapor
Deposition (MOCVD) reactors designed for
compound semiconductor epitaxial growth of
material having two or more of the following
elements: aluminum, gallium, indium,
arsenic, phosphorus, antimony, oxygen, or
nitrogen;
a.3. Molecular beam epitaxial growth
equipment using gas or solid sources;
a.4. Equipment designed for epitaxial
growth of silicon (Si) or silicon germanium
(SiGe), and having all of the following:
a.4.a. At least one preclean chamber
designed to provide a surface preparation
means to clean the surface of the wafer; and
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
khammond on DSK9W7S144PROD with RULES2
a.4.b. An epitaxial deposition chamber
designed to operate at a temperature equal to
or below 958 K (685 °C).
b. Semiconductor wafer fabrication
equipment designed for ion implantation and
having any of the following:
b.1. [Reserved]
b.2. Being designed and optimized to
operate at a beam energy of 20 keV or more
and a beam current of 10 mA or more for
hydrogen, deuterium, or helium implant;
b.3. Direct write capability;
b.4. A beam energy of 65 keV or more and
a beam current of 45 mA or more for high
energy oxygen implant into a heated
semiconductor material ‘‘substrate’’; or
b.5. Being designed and optimized to
operate at beam energy of 20 keV or more and
a beam current of 10mA or more for silicon
implant into a semiconductor material
‘‘substrate’’ heated to 600 °C or greater;
c. Etch equipment.
c.1. Equipment designed for dry etching as
follows:
c.1.a. Equipment designed or modified for
isotropic dry etching, having a largest ‘silicon
germanium-to-silicon (SiGe:Si) etch
selectivity’ of greater than or equal to 100:1;
or
c.1.b. [Reserved]
c.1.c. Equipment designed or modified for
anisotropic dry etching, having all of the
following;
c.1.c.1. Radio Frequency (RF) power
source(s) with at least one pulsed RF output;
c.1.c.2. One or more fast gas switching
valve(s) with switching time less than 300
milliseconds; and
c.1.c.3. Electrostatic chuck with twenty or
more individually controllable variable
temperature elements;
c.2. Equipment designed for wet chemical
processing and having a largest ‘silicon
germanium-to-silicon (SiGe:Si) etch
selectivity’ of greater than or equal to 100:1;
c.3. Equipment designed for anisotropic
dry etching having all of following:
c.3.a Two or more RF independent sources;
c.3.b Two or more independent gas
sources;
c.3.c ‘Process uniformity tuning’ for wafer
thickness variation compensation; and
c.3.d Through Silicon Via (TSV) reveal
Endpoint Detection (EPD);
c.4. Equipment designed for Through
Silicon Via (TSV) etch having all of the
following:
c.4.a. Silicon etch rate greater than 7
microns per minute;
c.4.b. Within wafer (WIW) etch depth nonuniformity of less than or equal 2 percent;
and
c.4.c. A Through Silicon Via (TSV) aspect
ratio greater than or equal to 10:1.
Note 1: 3B001.c includes etching by
‘radicals’, ions, sequential reactions, or nonsequential reaction.
Note 2: 3B001.c.1.c includes etching using
RF pulse excited plasma, pulsed duty cycle
excited plasma, pulsed voltage on electrodes
modified plasma, cyclic injection and
purging of gases combined with a plasma,
plasma atomic layer etching, or plasma
quasi-atomic layer etching.
Technical Notes:
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
1. For the purposes of 3B001.c, ‘silicon
germanium-to-silicon (SiGe:Si) etch
selectivity’ is measured for a Ge
concentration of greater than or equal to 30%
(Si0.70Ge0.30).
2. For the purposes of 3B001.c Note 1 and
3B001.d.14, ‘radical’ is defined as an atom,
molecule, or ion that has an unpaired
electron in an open electron shell
configuration.
3. For the purposes of 3B001.c.3, ‘process
uniformity tuning’ is the process of
compensating for incoming wafer thickness
variations after grinding.
d. Semiconductor manufacturing
deposition equipment, as follows:
d.1. Equipment designed for cobalt (Co)
electroplating or cobalt electroless-plating
deposition processes;
Note: 3B001.d.1 controls semiconductor
wafer processing equipment.
d.2. Equipment designed for:
d.2.a. Chemical vapor deposition of cobalt
(Co) fill metal; or
d.2.b. Selective bottom-up chemical vapor
deposition of tungsten (W) fill metal;
d.3. Semiconductor manufacturing
equipment designed to fabricate a metal
contact by multistep processing within a
single chamber by performing all of the
following:
d.3.a. Deposition of a tungsten layer, using
an organometallic compound, while
maintaining the wafer substrate temperature
greater than 100 °C and less than 500 °C; and
d.3.b. Surface treatment plasma process
using hydrogen (H2), hydrogen and nitrogen
(H2+N2), or ammonia (NH3).
d.4. Equipment or systems designed for
multistep processing in multiple chambers or
stations, as follows:
d.4.a. Equipment designed to fabricate a
metal contact by performing all of the
following processes:
d.4.a.1. Surface treatment plasma process
using hydrogen (H2), including hydrogen and
nitrogen (H2 + N2) or ammonia (NH3), while
maintaining the wafer substrate at a
temperature greater than 100 °C and less than
500 °C;
d.4.a.2. Surface treatment plasma process
using oxygen (O2) or ozone (O3), while
maintaining the wafer substrate at a
temperature greater than 40 °C and less than
500 °C; and
d.4.a.3. Deposition of a tungsten (W) layer
while maintaining the wafer substrate
temperature greater than 100 °C and less than
500 °C;
d.4.b. Equipment designed to fabricate a
metal contact by performing all of the
following processes:
d.4.b.1 Surface treatment process using a
remote plasma generator and an ion filter;
and
d.4.b.2. Deposition of a cobalt (Co) layer
selectively onto copper (Cu) using an
organometallic compound;
Note: This control does not apply to
equipment that is non-selective.
d.4.c. Equipment designed to fabricate a
metal contact by performing all the following
processes:
d.4.c.1. Deposition of a titanium nitride
(TiN) or tungsten carbide (WC) layer, using
PO 00000
Frm 00033
Fmt 4701
Sfmt 4700
96821
an organometallic compound, while
maintaining the wafer substrate at a
temperature greater than 20 °C and less than
500 °C;
d.4.c.2. Deposition of a cobalt (Co) layer
using a physical sputter deposition technique
and having a process pressure greater than
133.3 mPa and less than 13.33 Pa, while
maintaining the wafer substrate at a
temperature below 500 °C; and
d.4.c.3. Deposition of a cobalt (Co) layer
using an organometallic compound and
having a process pressure greater than 133.3
Pa and less than 13.33 kPa, while
maintaining the wafer substrate at a
temperature greater than 20 °C and less than
500 °C;
d.4.d. Equipment designed to fabricate
copper (Cu) interconnects by performing all
of the following processes:
d.4.d.1. Deposition of a cobalt (Co) or
ruthenium (Ru) layer using an organometallic
compound and having a process pressure
greater than 133.3 Pa and less than 13.33 kPa,
while maintaining the wafer substrate at a
temperature greater than 20 °C and less than
500 °C; and
d.4.d.2. Deposition of a copper layer using
a physical vapor deposition technique and
having a process pressure greater than 133.3
mPa and less than 13.33 Pa, while
maintaining the wafer substrate at a
temperature below 500 °C;
d.5. Equipment designed for plasma
enhanced chemical vapor deposition of
carbon hard masks more than 2 um thick and
with density of greater than 1.7g/cc;
d.6. Atomic Layer Deposition (ALD)
equipment designed for area selective
deposition of a barrier or liner using an
organometallic compound;
Note: 3B001.d.6 includes equipment
capable of area selective deposition of a
barrier layer to enable fill metal contact to an
underlying electrical conductor without a
barrier layer at the fill metal via interface to
an underlying electrical conductor.
d.7. Equipment designed for Atomic Layer
Deposition (ALD) of tungsten (W) to fill an
entire interconnect or in a channel less than
40 nm wide, while maintaining the wafer
substrate at a temperature less than 500 °C.
d.8. Equipment designed for Atomic Layer
Deposition (ALD) of ‘work function metal’
having all of the following:
d.8.a. More than one metal source of which
one is designed for an aluminum (Al)
precursor;
d.8.b. Precursor vessel designed and
enabled to operate at a temperature greater
than 30 °C; and
d.8.c. Designed for depositing a ’work
function metal’ having all of the following:
d.8.c.1. Deposition of titanium-aluminum
carbide (TiAlC); and
d.8.c.2. Enabling a work function greater
than 4.0 eV;
Technical Note: For the purposes of
3B001.d.8, ‘work function metal’ is a material
that controls the threshold voltage of a
transistor.
d.9. Spatial Atomic Layer Deposition
(ALD) equipment having a wafer support
platform that rotates around an axis having
any of the following:
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
d.9.a. A spatial plasma enhanced atomic
layer deposition mode of operation;
d.9.b. A plasma source; or
d.9.c. A plasma shield or means to confine
the plasma to the plasma exposure process
region;
d.10. Equipment designed for Atomic
Layer Deposition (ALD) or Chemical Vapor
Deposition (CVD) of plasma enhanced of low
fluorine tungsten (FW) (fluorine (F)
concentration less than 1019 atoms/cm3)
films;
d.11. [Reserved]
d.12. Equipment designed for depositing a
metal layer, and having any of the following:
d.12.a. Selective tungsten (W) growth
without a barrier; or
d.12.b. Selective molybdenum (Mo) growth
without a barrier;
d.13. Equipment designed for depositing a
ruthenium layer (Ru) using an organometallic
compound, while maintaining the wafer
substrate at a temperature greater than 20 °C
and less than 500 °C;
d.14. Equipment designed for deposition
assisted by remotely generated ‘radicals’,
enabling the fabrication of a silicon (Si) and
carbon (C) containing film, and having all of
the following properties of the deposited
film:
d.14.a. A dielectric constant (k) of less than
4.4;
d.14.b. In features with an aspect ratio
greater than 5:1 with lateral openings of less
than 35 nm; and
d.14.c. A feature-to-feature pitch of less
than 45 nm;
d.15. Equipment designed for void free
plasma enhanced deposition of a low-k
dielectric layer in gaps between metal lines
less than 25 nm and having an aspect ratio
greater than or equal to 1:1 with a less than
3.3 dielectric constant;
d.16. [Reserved]
d.17. Equipment designed for plasma
enhanced chemical vapor deposition
(PECVD) or radical assisted chemical vapor
deposition and UV curing in a single
platform of a dielectric film, while
maintaining a substrate temperature below
500 °C, having all of the following:
d.17.a. A thickness of more than 6 nm and
less than 20 nm on metal features having less
than 24 nm pitch and having an aspect ratio
equal to or greater than 1:1.8; and
d.17.b. A dielectric constant less than 3.0;
d.18. Equipment designed or modified for
Atomic Layer Deposition (ALD) of
molybdenum (Mo), ruthenium (Ru), or
combinations Mo or Ru, and having all of the
following:
d.18.a. A metal precursor source designed
or modified to operate at a temperature
greater 75 °C; and
d.18.b. A process chamber (module) using
a reducing agent containing hydrogen (H) at
a pressure greater than or equal to 30 Torr (4
kPa).
Note: For the purposes of paragraph
d.18.a, the metal precursor source need not
be integrated with the equipment. The metal
precursor could be delivered by an on-tool
source or from a sub-fab source.
d.19. Deposition equipment having directliquid injection of more than two metal
precursors, designed or modified to deposit
a conformal dielectric film with a dielectric
constant (K) greater than 40 in features with
aspect ratio greater than 200:1 in a single
deposition chamber.
d.20. Physical vapor deposition equipment
having electromagnets for ion flux guidance,
and ‘‘specially designed’’ to deposit tungsten
(W) metal into features having an aspect ratio
of 3:1 or greater.
e. Automatic loading multi-chamber
central wafer handling systems having all of
the following:
e.1. Interfaces for wafer input and output,
to which more than two functionally
different ‘semiconductor process tools’
controlled by 3B001.a, .b., .c, and .d are
designed to be connected; and
where, for the purposes of 3B001.f.1.b, the K
factor = 0.25 ‘MRF’ is also known as
resolution.
2. ‘Dedicated chuck overlay’ is the
alignment accuracy of a new pattern to an
existing pattern printed on a wafer by the
same lithographic system. ‘Dedicated chuck
overlay’ is also known as single machine
overlay.
f.2. Imprint lithography equipment capable
of production features of 45 nm or less;
Note: 3B001.f.2 includes:
—Micro contact printing tools
—Hot embossing tools
—Nano-imprint lithography tools
—Step and flash imprint lithography (S–FIL)
tools
f.3. Equipment ‘‘specially designed’’ for
mask making having all of the following:
f.3.a. A deflected focused electron beam,
ion beam or ‘‘laser’’ beam; and
f.3.b. Having any of the following:
f.3.b.1. A Full-Width Half-Maximum
(FWHM) spot size smaller than 65 nm and an
image placement less than 17 nm (mean + 3
sigma); or
f.3.b.2. [Reserved]
f.3.b.3. A second-layer overlay error of less
than 23 nm (mean + 3 sigma) on the mask;
f.4. Equipment designed for device
processing using direct writing methods,
having all of the following:
f.4.a. A deflected focused electron beam;
and
f.4.b. Having any of the following:
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
PO 00000
Frm 00034
Fmt 4701
Sfmt 4700
e.2. Designed to form an integrated system
in a vacuum environment for ‘sequential
multiple wafer processing’;
Note: 3B001.e does not control automatic
robotic wafer handling systems ‘‘specially
designed’’ for parallel wafer processing.
Technical Notes:
1. For the purposes of 3B001.e,
‘semiconductor process tools’ refers to
modular tools that provide physical
processes for semiconductor ‘‘production’’
that are functionally different, such as
deposition, implant or thermal processing.
2. For the purposes of 3B001.e, ‘sequential
multiple wafer processing’ means the
capability to process each wafer in different
‘semiconductor process tools’, such as by
transferring each wafer from one tool to a
second tool and on to a third tool with the
automatic loading multi-chamber central
wafer handling systems.
f. Lithography equipment as follows:
f.1. Align and expose step and repeat
(direct step on wafer) or step and scan
(scanner) equipment for wafer processing
using photo-optical or X-ray methods and
having any of the following:
f.1.a. A light source wavelength shorter
than 193 nm; or
f.1.b. A light source wavelength equal to or
longer than 193 nm and having all of the
following:
f.1.b.1. The capability to produce a pattern
with a ‘‘Minimum Resolvable Feature size’’
(MRF) of 45 nm or less; and
f.1.b.2. A maximum ’dedicated chuck
overlay’ value of less than or equal to 1.50
nm;
Technical Notes: For the purposes of
3B001.f.1.b:
1. The ‘Minimum Resolvable Feature size’
(MRF) (i.e., resolution) is calculated by the
following formula:
f.4.b.1. A minimum beam size equal to or
smaller than 15 nm; or
f.4.b.2. An overlay error less than 27 nm
(mean + 3 sigma);
f.5. Imprint lithography equipment having
an overlay accuracy less (better) than 1.5;
g. Masks and reticles, designed for
integrated circuits controlled by 3A001;
h. Multi-layer masks with a phase shift
layer not specified by 3B001.g and designed
to be used by lithography equipment having
a light source wavelength less than 245 nm;
Note: 3B001.h does not control multi-layer
masks with a phase shift layer designed for
the fabrication of memory devices not
controlled by 3A001.
N.B.: For masks and reticles, ‘‘specially
designed’’ for optical sensors, see 6B002.
E:\FR\FM\05DER2.SGM
05DER2
ER05DE24.008
khammond on DSK9W7S144PROD with RULES2
96822
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
i. Imprint lithography templates designed
for integrated circuits by 3A001;
j. Mask ‘‘substrate blanks’’ with multilayer
reflector structure consisting of molybdenum
and silicon, and having all of the following:
j.1. ‘‘Specially designed’’ for ‘‘Extreme
Ultraviolet’’ (‘‘EUV’’) lithography; and
j.2. Compliant with SEMI Standard P37;
k. Equipment designed for ion beam
deposition or physical vapor deposition of a
multi-layer reflector for ‘‘EUV’’ masks;
l. ‘‘EUV’’ pellicles;
m. Equipment for manufacturing ‘‘EUV’’
pellicles;
n. Equipment designed for coating,
depositing, baking, or developing photoresist
formulated for ‘‘EUV’’ lithography;
o. [Reserved]
p. Removal and cleaning equipment as
follows:
p.1. [Reserved]
p.2. Single wafer wet cleaning equipment
with surface modification drying; or
p.3. [Reserved]
p.4. Equipment designed for single wafer
cleaning using supercritical CO2 or
sublimation drying;
q. ‘‘EUV’’ masks and ‘‘EUV’’ reticles,
designed for integrated circuits, not specified
by 3B001.g, and having a mask ‘‘substrate
blank’’ specified by 3B001.j; or
Technical Notes: For the purposes of
3B001.q, masks or reticles with a mounted
pellicle are considered masks and reticles.
r. Equipment designed for EUV ‘pattern
shaping.’
Technical Note: For the purposes of
3B001.r, ‘pattern shaping’ is a deposition or
removal process used to improve overall
patterning by reshaping or trimming patterns
produced using EUV lithography with nonvertical directed particles including ions,
neutral particles, clusters, radicals, or light.
3B002 Test or inspection equipment
‘‘specially designed’’ for testing or
inspecting finished or unfinished
semiconductor devices as follows (see
List of Items Controlled) and ‘‘specially
designed’’ ‘‘components’’ and
‘‘accessories’’ therefor.
License Requirements
Reason for Control: NS, RS, AT
khammond on DSK9W7S144PROD with RULES2
Control(s)
NS applies to
3B002.a and b.
NS applies to
3B002.c.
VerDate Sep<11>2014
Country chart
(see Supp. No. 1
to part 738)
NS Column 2.
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.4(a)(4) of the
EAR.
16:58 Dec 04, 2024
Jkt 265001
Country chart
(see Supp. No. 1
to part 738)
Control(s)
RS applies to
3B002.c.
AT applies to entire
entry.
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.6(a)(6) of the
EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
LVS: $500, except semiconductor
manufacturing equipment specified in
3B002.c.
GBS: Yes
List of Items Controlled
Related Controls: See also 3A999.a, 3B992,
and 3B993.
Related Definitions: N/A
Items:
a. For testing S-parameters of items
specified by 3A001.b.3.
b. For testing microwave integrated circuits
controlled by 3A001.b.2.
c. Inspection equipment designed for
‘‘EUV’’ mask blanks or ‘‘EUV’’ patterned
masks.
*
*
*
*
*
3B991 Equipment not controlled by 3B001,
3B993, or 3B994, for the manufacture of
electronic ‘‘parts,’’ ‘‘components,’’ and
materials, and ‘‘specially designed’’
‘‘parts,’’ ‘‘components,’’ and
‘‘accessories’’ therefor.
License Requirements
Reason for Control: AT
Control(s)
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
LVS: N/A
GBS: N/A
List of Items Controlled
Related Controls: N/A
Related Definitions: ‘Sputtering’ is an overlay
coating process wherein positively charged
ions are accelerated by an electric field
towards the surface of a target (coating
material). The kinetic energy of the
impacting ions is sufficient to cause target
surface atoms to be released and deposited
on the substrate. Note: Triode, magnetron
or radio frequency sputtering to increase
adhesion of coating and rate of deposition
are ordinary modifications of the process.
Items:
a. Equipment ‘‘specially designed’’ for the
manufacture of electron tubes, optical
elements, and ‘‘specially designed’’ ‘‘parts’’
and ‘‘components’’ therefor controlled by
3A001 or 3A991;
PO 00000
Frm 00035
Fmt 4701
Sfmt 4700
96823
b. Equipment ‘‘specially designed’’ for the
manufacture of semiconductor devices,
integrated circuits and ‘‘electronic
assemblies,’’ as follows, and systems
incorporating or having the characteristics of
such equipment:
Note: 3B991.b also controls equipment
used or modified for use in the manufacture
of other devices, such as imaging devices,
electro-optical devices, acoustic-wave
devices.
b.1. Equipment for the processing of
materials for the manufacture of devices,
‘‘parts,’’ and ‘‘components’’ as specified in
the heading of 3B991.b, as follows:
Note: 3B991 does not control quartz
furnace tubes, furnace liners, paddles, boats
(except ‘‘specially designed’’ caged boats),
bubblers, cassettes or crucibles ‘‘specially
designed’’ for the processing equipment
controlled by 3B991.b.1.
b.1.a. Equipment for producing
polycrystalline silicon and materials
controlled by 3C001;
b.1.b. Equipment ‘‘specially designed’’ for
purifying or processing III/V and II/VI
semiconductor materials controlled by
ECCNs 3C001, 3C002, 3C003, 3C004, or
3C005 except crystal pullers, for which see
3B991.b.1.c below;
b.1.c. Crystal pullers and furnaces, as
follows:
Note: 3B991.b.1.c does not control
diffusion and oxidation furnaces.
b.1.c.1. Annealing or recrystallizing
equipment other than constant temperature
furnaces employing high rates of energy
transfer capable of processing wafers at a rate
exceeding 0.005 m2 per minute;
b.1.c.2. ‘‘Stored program controlled’’
crystal pullers having any of the following
characteristics:
b.1.c.2.a. Rechargeable without replacing
the crucible container;
b.1.c.2.b. Capable of operation at pressures
above 2.5 × 105 Pa; or
b.1.c.2.c. Capable of pulling crystals of a
diameter exceeding 100 mm;
b.1.d. ‘‘Stored program controlled’’
equipment for epitaxial growth having any of
the following characteristics:
b.1.d.1. Capable of producing silicon layer
with a thickness uniform to less than ±2.5%
across a distance of 200 mm or more;
b.1.d.2. Capable of producing a layer of any
material other than silicon with a thickness
uniformity across the wafer of equal to or
better than ±3.5%; or
b.1.d.3. Rotation of individual wafers
during processing;
b.1.e. Molecular beam epitaxial growth
equipment;
b.1.f. Magnetically enhanced ’sputtering’
equipment with ‘‘specially designed’’ integral
load locks capable of transferring wafers in
an isolated vacuum environment;
b.1.g. Equipment ‘‘specially designed’’ for
ion implantation, ion-enhanced, or photoenhanced diffusion, having any of the
following characteristics:
b.1.g.1. Patterning capability;
b.1.g.2. Beam energy (accelerating voltage)
exceeding 200 keV;
b.1.g.3 Optimized to operate at a beam
energy (accelerating voltage) of less than 10
keV; or
E:\FR\FM\05DER2.SGM
05DER2
96824
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
khammond on DSK9W7S144PROD with RULES2
b.1.g.4. Capable of high energy oxygen
implant into a heated ‘‘substrate’’;
b.1.h. ‘‘Stored program controlled’’
equipment for the selective removal (i.e.,
etching) by means of anisotropic dry methods
(e.g., plasma), as follows:
b.1.h.1. Batch types having either of the
following:
b.1.h.1.a. End-point detection, other than
optical emission spectroscopy types; or
b.1.h.1.b. Reactor operational (etching)
pressure of 26.66 Pa or less;
b.1.h.2. Single wafer types having any of
the following:
b.1.h.2.a. End-point detection, other than
optical emission spectroscopy types;
b.1.h.2.b. Reactor operational (etching)
pressure of 26.66 Pa or less; or
b.1.h.2.c. Cassette-to-cassette and load
locks wafer handling;
Note 1: ‘‘Batch types’’ refers to machines
not ‘‘specially designed’’ for production
processing of single wafers. Such machines
can process two or more wafers
simultaneously with common process
parameters (e.g., RF power, temperature, etch
gas species, flow rates).
Note 2: ‘‘Single wafer types’’ refers to
machines ‘‘specially designed’’ for
production processing of single wafers. These
machines may use automatic wafer handling
techniques to load a single wafer into the
equipment for processing. The definition
includes equipment that can load and
process several wafers but where the etching
parameters (e.g., RF power or end point) can
be independently determined for each
individual wafer.
b.1.i. ‘‘Chemical vapor deposition’’ (CVD)
equipment (e.g., plasma-enhanced CVD
(PECVD) or photo-enhanced CVD) for
semiconductor device manufacturing, having
either of the following capabilities, for
deposition of oxides, nitrides, metals, or
polysilicon:
b.1.i.1. ‘‘Chemical vapor deposition’’
equipment operating below 105 Pa; or
b.1.i.2. PECVD equipment operating either
below 60 Pa (450 millitorr) or having
automatic cassette-to-cassette and load lock
wafer handling;
Note: 3B991.b.1.i does not control low
pressure ‘‘chemical vapor deposition’’
(LPCVD) systems or reactive ‘‘sputtering’’
equipment.
b.1.j. Electron beam systems ‘‘specially
designed’’ or modified for mask making or
semiconductor device processing having any
of the following characteristics:
b.1.j.1. Electrostatic beam deflection;
b.1.j.2. Shaped, non-Gaussian beam profile;
b.1.j.3. Digital-to-analog conversion rate
exceeding 3 MHz;
b.1.j.4. Digital-to-analog conversion
accuracy exceeding 12 bit; or
b.1.j.5. Target-to-beam position feedback
control precision of 1 micrometer or finer;
Note: 3B991.b.1.j does not control electron
beam deposition systems or general purpose
scanning electron microscopes.
b.1.k. Surface finishing equipment for the
processing of semiconductor wafers as
follows:
b.1.k.1. ‘‘Specially designed’’ equipment
for backside processing of wafers thinner
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
than 100 micrometer and the subsequent
separation thereof; or
b.1.k.2. ‘‘Specially designed’’ equipment
for achieving a surface roughness of the
active surface of a processed wafer with a
two-sigma value of 2 micrometer or less, total
indicator reading (TIR);
Note: 3B991.b.1.k does not control singleside lapping and polishing equipment for
wafer surface finishing.
b.1.l. Interconnection equipment which
includes common single or multiple vacuum
chambers ‘‘specially designed’’ to permit the
integration of any equipment controlled by
3B991 into a complete system;
b.1.m. ‘‘Stored program controlled’’
equipment using ‘‘lasers’’ for the repair or
trimming of ‘‘monolithic integrated circuits’’
with either of the following characteristics:
b.1.m.1. Positioning accuracy less than ±1
micrometer; or
b.1.m.2. Spot size (kerf width) less than 3
micrometer.
b.2. Masks, mask ‘‘substrates,’’ maskmaking equipment and image transfer
equipment for the manufacture of devices,
‘‘parts’’ and ‘‘components’’ as specified in the
heading of 3B991, as follows:
Note: The term ‘‘masks’’ refers to those
used in electron beam lithography, X-ray
lithography, and ultraviolet lithography, as
well as the usual ultraviolet and visible
photo-lithography.
b.2.a. Finished masks, reticles and designs
therefor, except:
b.2.a.1. Finished masks or reticles for the
production of unembargoed integrated
circuits; or
b.2.a.2. Masks or reticles, having both of
the following characteristics:
b.2.a.2.a. Their design is based on
geometries of 2.5 micrometer or more; and
b.2.a.2.b. The design does not include
special features to alter the intended use by
means of production equipment or
‘‘software’’;
b.2.b. Mask ‘‘substrates’’ as follows:
b.2.b.1. Hard surface (e.g., chromium,
silicon, molybdenum) coated ‘‘substrates’’
(e.g., glass, quartz, sapphire) for the
preparation of masks having dimensions
exceeding 125 mm x 125 mm; or
b.2.b.2. ‘‘Substrates’’ ‘‘specially designed’’
for X-ray masks;
b.2.c. Equipment, other than general
purpose computers, ‘‘specially designed’’ for
computer aided design (CAD) of
semiconductor devices or integrated circuits;
b.2.d. Equipment or machines, as follows,
for mask or reticle fabrication:
b.2.d.1. Photo-optical step and repeat
cameras capable of producing arrays larger
than 100 mm x 100 mm, or capable of
producing a single exposure larger than 6
mm x 6 mm in the image (i.e., focal) plane,
or capable of producing line widths of less
than 2.5 micrometer in the photoresist on the
‘‘substrate’’;
b.2.d.2. Mask or reticle fabrication
equipment using ion or ‘‘laser’’ beam
lithography capable of producing line widths
of less than 2.5 micrometer; or
b.2.d.3. Equipment or holders for altering
masks or reticles or adding pellicles to
remove defects;
PO 00000
Frm 00036
Fmt 4701
Sfmt 4700
Note: 3B991.b.2.d.1 and b.2.d.2 do not
control mask fabrication equipment using
photo-optical methods which was either
commercially available before the 1st of
January, 1980, or has a performance no better
than such equipment.
b.2.e. ‘‘Stored program controlled’’
equipment for the inspection of masks,
reticles or pellicles with:
b.2.e.1. A resolution of 0.25 micrometer or
finer; and
b.2.e.2. A precision of 0.75 micrometer or
finer over a distance in one or two
coordinates of 63.5 mm or more;
Note: 3B991.b.2.e does not control general
purpose scanning electron microscopes
except when ‘‘specially designed’’ and
instrumented for automatic pattern
inspection.
b.2.f. Align and expose equipment for
wafer production using photo-optical or Xray methods (e.g., lithography equipment)
including both projection image transfer
equipment and step and repeat (i.e., direct
step on wafer) or step and scan (scanner)
equipment, capable of performing any of the
following functions:
Note: 3B991.b.2.f does not control photooptical contact and proximity mask align and
expose equipment or contact image transfer
equipment.
b.2.f.1. Production of a pattern size of less
than 2.5 micrometer;
b.2.f.2. Alignment with a precision finer
than ±0.25 micrometer (3 sigma);
b.2.f.3. Machine-to-machine overlay no
better than ±0.3 micrometer; or
b.2.f.4. A light source wavelength shorter
than 400 nm;
b.2.g. Electron beam, ion beam or X-ray
equipment for projection image transfer
capable of producing patterns less than 2.5
micrometer;
Note: For focused, deflected-beam
systems(direct write systems), see 3B991.b.1.j
or b.10.
b.2.h. Equipment using ‘‘lasers’’ for direct
write on wafers capable of producing
patterns less than 2.5 micrometer.
b.3. Equipment for the assembly of
integrated circuits, as follows:
b.3.a. ‘‘Stored program controlled’’ die
bonders having all of the following
characteristics:
b.3.a.1. ‘‘Specially designed’’ for ‘‘hybrid
integrated circuits’’;
b.3.a.2. X–Y stage positioning travel
exceeding 37.5 × 37.5 mm; and
b.3.a.3. Placement accuracy in the X–Y
plane of finer than ±10 micrometer;
b.3.b. ‘‘Stored program controlled’’
equipment for producing multiple bonds in
a single operation (e.g., beam lead bonders,
chip carrier bonders, tape bonders);
b.3.c. Semi-automatic or automatic hot cap
sealers, in which the cap is heated locally to
a higher temperature than the body of the
package, ‘‘specially designed’’ for ceramic
microcircuit packages controlled by 3A001
and that have a throughput equal to or more
than one package per minute.
Note: 3B991.b.3 does not control general
purpose resistance type spot welders.
b.4. Filters for clean rooms capable of
providing an air environment of 10 or less
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
particles of 0.3 micrometer or smaller per
0.02832 m3 and filter materials therefor.
3B992 Equipment not controlled by 3B002,
3B993, or 3B994, for the inspection or
testing of electronic ‘‘components’’ and
materials, (see List of Items Controlled)
and ‘‘specially designed’’ ‘‘parts,’’
‘‘components’’ and ‘‘accessories’’
therefor.
License Requirements
Reason for Control: AT
Control(s)
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
LVS: N/A
GBS: N/A
khammond on DSK9W7S144PROD with RULES2
List of Items Controlled
Related Controls: See also 3A992.a.
Related Definitions: N/A
Items:
a. Equipment ‘‘specially designed’’ for the
inspection or testing of electron tubes, optical
elements and ‘‘specially designed’’ ‘‘parts’’
and ‘‘components’’ therefor controlled by
3A001 or 3A991;
b. Equipment ‘‘specially designed’’ for the
inspection or testing of semiconductor
devices, integrated circuits and ‘‘electronic
assemblies’’, as follows, and systems
incorporating or having the characteristics of
such equipment:
Note: 3B992.b also controls equipment
used or modified for use in the inspection or
testing of other devices, such as imaging
devices, electro-optical devices, acousticwave devices.
b.1. ‘‘Stored program controlled’’
inspection equipment for the automatic
detection of defects, errors or contaminants
of 0.6 micrometer or less in or on processed
wafers, ‘‘substrates’’, other than printed
circuit boards or chips, using optical image
acquisition techniques for pattern
comparison;
Note: 3B992.b.1 does not control general
purpose scanning electron microscopes,
except when ‘‘specially designed’’ and
instrumented for automatic pattern
inspection.
b.2. ‘‘specially designed’’ ‘‘stored program
controlled’’ measuring and analysis
equipment, as follows:
b.2.a. ‘‘specially designed’’ for the
measurement of oxygen (O) or carbon (C)
content in semiconductor materials;
b.2.b. Equipment for line width
measurement with a resolution of 1
micrometer or finer;
b.2.c. ‘‘specially designed’’ flatness
measurement instruments capable of
measuring deviations from flatness of 10
micrometer or less with a resolution of 1
micrometer or finer.
b.3. ‘‘Stored program controlled’’ wafer
probing equipment having any of the
following characteristics:
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
b.3.a. Positioning accuracy finer than 3.5
micrometer;
b.3.b. Capable of testing devices having
more than 68 terminals; or
b.3.c. Capable of testing at a frequency
exceeding 1 GHz;
b.4. Test equipment as follows:
b.4.a. ‘‘Stored program controlled’’
equipment ‘‘specially designed’’ for testing
discrete semiconductor devices and
unencapsulated dice, capable of testing at
frequencies exceeding 18 GHz;
Technical Note: Discrete semiconductor
devices include photocells and solar cells.
b.4.b. ‘‘Stored program controlled’’
equipment ‘‘specially designed’’ for testing
integrated circuits and ‘‘electronic
assemblies’’ thereof, capable of functional
testing:
b.4.b.1. At a ‘pattern rate’ exceeding 20
MHz; or
b.4.b.2. At a ‘pattern rate’ exceeding 10
MHz but not exceeding 20 MHz and capable
of testing packages of more than 68 terminals.
Note: 3B992.b.4.b does not control test
equipment ‘‘specially designed’’ for testing:
1. memories;
2. ‘‘Assemblies’’ or a class of ‘‘electronic
assemblies’’ for home and entertainment
applications; and
3. Electronic ‘‘parts,’’ ‘‘components,’’
‘‘assemblies’’ and integrated circuits not
controlled by 3A001 or 3A991 provided such
test equipment does not incorporate
computing facilities with ‘‘user accessible
programmability.’’
Technical Note: For purposes of
3B992.b.4.b, ‘pattern rate’ is defined as the
maximum frequency of digital operation of a
tester. It is therefore equivalent to the highest
data rate that a tester can provide in nonmultiplexed mode. It is also referred to as test
speed, maximum digital frequency or
maximum digital speed.
b.4.c. Equipment ‘‘specially designed’’ for
determining the performance of focal-plane
arrays at wavelengths of more than 1,200 nm,
using ‘‘stored program controlled’’
measurements or computer aided evaluation
and having any of the following
characteristics:
b.4.c.1. Using scanning light spot diameters
of less than 0.12 mm;
b.4.c.2. Designed for measuring
photosensitive performance parameters and
for evaluating frequency response,
modulation transfer function, uniformity of
responsivity or noise; or
b.4.c.3. Designed for evaluating arrays
capable of creating images with more than 32
× 32 line elements;
b.5. Electron beam test systems designed
for operation at 3 keV or below, or ‘‘laser’’
beam systems, for non-contactive probing of
powered-up semiconductor devices having
any of the following:
b.5.a. Stroboscopic capability with either
beam blanking or detector strobing;
b.5.b. An electron spectrometer for voltage
measurements with a resolution of less than
0.5 V; or
b.5.c. Electrical tests fixtures for
performance analysis of integrated circuits;
Note: 3B992.b.5 does not control scanning
electron microscopes, except when ‘‘specially
PO 00000
Frm 00037
Fmt 4701
Sfmt 4700
96825
designed’’ and instrumented for noncontactive probing of a powered-up
semiconductor device.
b.6. ‘‘Stored program controlled’’
multifunctional focused ion beam systems
‘‘specially designed’’ for manufacturing,
repairing, physical layout analysis and
testing of masks or semiconductor devices
and having either of the following
characteristics:
b.6.a. Target-to-beam position feedback
control precision of 1 micrometer or finer; or
b.6.b. Digital-to-analog conversion
accuracy exceeding 12 bit;
b.7. Particle measuring systems employing
‘‘lasers’’ designed for measuring particle size
and concentration in air having both of the
following characteristics:
b.7.a. Capable of measuring particle sizes
of 0.2 micrometer or less at a flow rate of
0.02832 m3 per minute or more; and
b.7.b. Capable of characterizing Class 10
clean air or better.
*
*
*
*
*
3B993 Specified semiconductor
manufacturing equipment as follows
(see list of items controls).
License Requirements
Reason for Control: RS, AT
Control(s)
RS applies to entire
entry.
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
See § 742.6(a)(11) of
the EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
LVS: N/A
GBS: N/A
List of Items Controlled
Related Controls: (1) See ECCNs 3D993 and
3E993 for associated ‘‘software’’ and
‘‘technology’’ controls. (2) For additional
controls that apply to this ECCN, see also
§ 744.11(a)(2)(v) and § 744.23(a)(4) of the
EAR.
Related Definitions: N/A
Items:
a. [Reserved]
b. Semiconductor wafer fabrication
equipment for 300 mm wafers designed for
ion implantation and having any of the
following:
b.1. Equipment designed for plasma
doping, having all of the following:
b.1.a. One or more Radio Frequency (RF)
power source(s);
b.1.b. One or more pulsed DC Power
Source; and
b.1.c. One or more n-type or p-type dopant
implants.
b.2 [Reserved]
c. Etch equipment as follows:
c.1. Equipment designed or modified for
anisotropic etching of dielectric materials
and enabling the fabrication of high aspect
ratio features with aspect ratio greater than
30:1 and a lateral dimension on the top
surface of less than 100 nm, and having all
of the following:
E:\FR\FM\05DER2.SGM
05DER2
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
Technical Note: For the purposes of the
Note to 3B993.c.1, ’radical’ is defined as an
atom, molecule, or ion that has an unpaired
electron in an open electron shell
configuration.
c.2. Equipment, not specified by 3B993.c.1,
designed for anisotropic etching of dielectric
material and enabling the fabrication of high
aspect ratio features having all of the
following:
c.2.a. An aspect ratio greater than 30:1; and
c.2.b. A lateral dimension on the top
surface of less than 40 nm.
Note: 3B993.c.2 does not apply to
equipment designed for wafer diameters less
than 300 mm.
c.3. Equipment, not specified by
3B001.c.1.c, designed or modified for
anisotropic dry etching, having all of the
following:
c.3.a. Radio Frequency (RF) power
source(s) with at least one pulsed RF output;
c.3.b. One or more fast gas switching
valve(s) with switching time less than 500
milliseconds; and
c.3.c. Electrostatic chuck with greater than
or equal to 10 individually controllable
variable temperature elements.
d. Semiconductor manufacturing
deposition equipment as follows:
d.1. Equipment designed, not specified by
3B001.d.14, for deposition assisted by
remotely generated ‘radicals’, enabling the
fabrication of a silicon (Si) and carbon (C)
containing film, and having all of the
following properties of the deposited film:
d.1.a. A dielectric constant (k) of less than
5.3;
d.1.b. In features with an aspect ratio
greater than 5:1 with lateral openings of less
than 70 nm; and
d.1.c. A feature-to-feature pitch of less than
100 nm.
d.2. Equipment designed for deposition of
a film, containing silicon and carbon, and
having a dielectric constant (k) of less than
5.3, into lateral openings having widths of
less than 70 nm and aspect ratios greater than
5:1 (depth: width) and a feature-to-feature
pitch of less than 100 nm, while maintaining
the wafer substrate at a temperature greater
than 400 °C and less than 650 °C, and having
all of the following:
d.2.a. Boat designed to hold multiple
vertically stacked wafers;
d.2.b. Two or more vertical injectors; and
d.2.c. A silicon source and propene are
introduced to a different injector than a
nitrogen source or an oxygen source.
d.3. Equipment designed for chemical
vapor deposition of a carbon material layer
with a density more than 1.6 g/cm3.
d.4. Deposition equipment, not specified
by 3B001.d.19, having direct-liquid injection
of more than two metal precursors, designed
or modified to deposit a conformal dielectric
film with a dielectric constant (K) greater
than 35 in features with aspect ratio greater
than 50:1 in a single deposition chamber.
e. [Reserved]
f. Lithography equipment as follows:
f.1. Align and expose step and repeat
(direct step on wafer) or step and scan
(scanner) lithography equipment for wafer
processing using photo-optical or X-ray
methods and having all of the following:
f.1.a. [Reserved]
f.1.b. A light source wavelength equal to or
longer than 193 nm and having all of the
following:
f.1.b.1 The capability to produce a pattern
with a ‘Minimum Resolvable Feature size’
(‘MRF’) of 45 nm or less; and
f.1.b.2. A maximum ‘dedicated chuck
overlay’ value greater than 1.50 nm and less
than or equal to 2.40 nm.
Technical Notes for paragraph 3B993.f.1:
1. The ’Minimum Resolvable Feature size’
(‘MRF’) is calculated by the following
formula:
where, for the purposes of 3B993.f.1, the K
factor = 0.25.
‘MRF’ is also known as resolution.
2. ‘Dedicated chuck overlay’ is the
alignment accuracy of a new pattern to an
existing pattern printed on a wafer by the
same lithographic system. ‘Dedicated chuck
overlay’ is also known as single machine
overlay.
f.2. Imprint lithography equipment having
an overlay accuracy above 1.5 nm and less
(better) than or equal to 4.0 nm.
f.3. Commodities designed or modified to
increase the number of wafers processed per
hour, averaged over any time interval, by
greater than 1%, of equipment specified in
3B001.f.1 or 3B993.f.1.
g. through n. [Reserved]
o. Annealing equipment designed for 300
mm wafers as follows:
o.1 Annealing equipment, operating in a
vacuum (equal to or less than 0.01 Pa)
environment, performing any of the
following:
o.1.a. Reflow of copper (Cu) to minimize or
eliminate voids or seams in copper (Cu)
metal interconnects; or
o.1.b. Reflow of cobalt (Co) or tungsten (W)
fill metal to minimize or eliminate voids or
seams;
o.2. Equipment designed to heat a
semiconductor wafer to a temperature greater
than 1000 °C (1832 °F) for a ‘duration’ less
than 2 ms.
Technical Note: For the purposes of
3B993.o.2, ‘duration’ is the period above
stated temperature.
p. Removal and cleaning equipment as
follows:
p.1. Equipment designed for removing
polymeric residue and copper oxide (CuO)
film and enabling deposition of copper (Cu)
metal in a vacuum (equal to or less than 0.01
Pa) environment.
p.2. [Reserved]
p.3. Equipment designed for dry surface
oxide removal preclean or dry surface
decontamination.
Note to 3B993.p.1 and p.3: These controls
do not apply to deposition equipment.
q. Inspection and metrology equipment as
follows:
q.1. Patterned wafer defect metrology or
patterned wafer defect inspection equipment,
designed or modified to accept wafers greater
than or equal to 300 mm in diameter, and
having all of the following:
q.1.a. Designed or modified to detect
defects having a size equal to or less than 21
nm; and
q.1.b. Having any of the following:
q.1.b.1. A light source with an optical
wavelength less than 400 nm;
q.1.b.2. An electron-beam source with a
resolution less (better) than or equal to 1.65
nm;
q.1.b.3. A Cold Field Emission (CFE)
electron-beam source; or
q.1.b.4. Two or more electron-beam
sources.
q.2. Metrology equipment as follows:
q.2.a. Stand-alone equipment designed to
measure wafer shape parameters prior to
lithography exposure and utilize
measurements to improve overlay or focus of
a deep ultraviolet (DUV) lithography system
having an immersion lens having a numerical
aperture more than 1.3 or an Extreme
Ultraviolet lithography (EUV) system; or
q.2.b. Metrology equipment designed to
measure focus or overlay after resist
development or after etch on product wafers
using image-based overlay or diffractionbased measurements techniques, with an
overlay measurement accuracy less (better)
than or equal to 0.5 nm having any of the
following:
khammond on DSK9W7S144PROD with RULES2
c.1.a. Radio Frequency (RF) power
source(s) with at least one pulsed RF output;
and
c.1.b. One or more fast gas switching
valve(s) with switching time less than 300
milliseconds.
Note: 3B993.c.1 includes etching by
’radicals’, ions, sequential reactions, or nonsequential reaction.
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
PO 00000
Frm 00038
Fmt 4701
Sfmt 4700
E:\FR\FM\05DER2.SGM
05DER2
ER05DE24.009
96826
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
q.2.b.1 designed for integration to a ‘track’;
or
q.2.b.2 ‘fast wavelength switching
functionality’;
Technical Notes:
1. For the purposes of 3B993.q.2, a ‘track’
is equipment designed for coating and
developing photoresist formulated for
lithography.
2. For the purposes of 3B993.q.2, ‘fast
wavelength switching functionality’ is
defined as having the ability the change the
measurement wavelength and acquire a
measurement in less than 25 ms.
*
*
*
*
*
3B994 Semiconductor manufacturing
equipment that enables ‘‘advanced-node
integrated circuit’’ production, as
follows (see list of items controls).
License Requirements
Reason for Control: RS, AT
Control(s)
RS applies to entire
entry.
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
See § 742.6(a)(11) of
the EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
LVS: N/A
GBS: N/A
khammond on DSK9W7S144PROD with RULES2
Special Conditions for STA
STA: License Exception STA may not be
used to ship or transmit commodities
specified in this ECCN to any of the
destinations listed in Country Group A:5 or
A:6 (See supplement no.1 to part 740 of the
EAR).
List of Items Controlled
Related Controls: (1) See ECCNs 3D994 and
3E994 for associated software and
technology controls. (2) For additional
controls that apply to this ECCN, see also
§ 744.11(a)(2)(v) and § 744.23(a)(4) of the
EAR.
Related Definitions: N/A
Items:
Note for 3B994: Equipment specified in
this ECCN 3B994 are limited to equipment
designed for volume production, such as
equipment designed to accept a SEMI
standard wafer carrier such as a 200 mm or
larger Front Opening Unified Pod or be
connected to a multi-chamber wafer handling
system.
a. [Reserved]
b. Semiconductor wafer fabrication
equipment designed for ion implantation of
300mm wafers as follows:
b.1. [Reserved]
b.2. Ion implantation equipment as
follows:
b.2.a. Having all of the following:
b.2.a.1. Beam current greater than 1uA and
less than 5mA; and
b.2.a.2. Beam energy greater than 5 keV
and less than 300 keV; or
b.2.b. Having all of the following:
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
b.2.b.1. Beam current greater than 5 mA;
and
b.2.b.2. Beam energy less than 5 keV; or
b.2.c. Having angular accuracy equal to or
less (better) than 0.1 degrees.
c. through p. [Reserved]
q. Inspection and metrology equipment as
follows:
q.1. and q.2. [Reserved]
q.3. Optical thin film metrology equipment
or optical critical dimension metrology
equipment designed for 300mm wafers and
containing software designed for measuring
non-planar transistors.
*
*
*
*
*
3D001 ‘‘Software’’ ‘‘specially designed’’ for
the ‘‘development’’ or ‘‘production’’ of
commodities controlled by 3A001.b to
3A002.h, 3A090, or 3B (except
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4,
r, 3B002.c, 3B903, 3B904, 3B991, 3B992,
3B993, or 3B994).
License Requirements
Reason for Control: NS, RS, AT
Control(s)
NS applies to ‘‘software’’ for equipment controlled by
3B001.q.
RS applies to ‘‘software’’ for equipment controlled by
3B001.q.
NS applies to ‘‘software’’ for commodities controlled by
3A001.b to
3A001.h, 3A001.z,
and 3B (except as
specified in the
heading).
RS applies to ‘‘software’’ for commodities controlled by
3A001.z and
3A090 (except for
3A090.c).
RS applies to ‘‘software’’ for commodities controlled by
3A090.c.
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
Worldwide control.
See § 742.4(a)(5) and
(b)(10) of the EAR.
Worldwide control.
See § 742.6(a)(10)
and (b)(11) of the
EAR.
NS Column 1.
Frm 00039
Fmt 4701
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
TSR: Yes, except for ‘‘software’’ ‘‘specially
designed’’ for the ‘‘development’’ or
‘‘production’’ of Traveling Wave Tube
Amplifiers described in 3A001.b.8 having
operating frequencies exceeding 18 GHz; or
commodities specified in 3A090.
Note: See § 740.2(a)(9)(ii) of the EAR for
license exception restrictions for ECCN
3D001 ‘‘software’’ for commodities controlled
by 3A001.z and 3A090.
IEC: Yes, for ‘‘software’’ for equipment
controlled by 3B001.q, see § 740.2(a)(22)
and § 740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be
used to ship or transmit ‘‘software’’
‘‘specially designed’’ for the
‘‘development’’ or ‘‘production’’ of
equipment specified by 3B001.q to any of
the destinations listed in Country Group
A:5 or A:6 (See supplement no.1 to part
740 of the EAR); and 3A090, or 3A002.g.1
to any of the destinations listed in Country
Group A:6.
List of Items Controlled
Related Controls: N/A
Related Definitions: N/A
Items:
The list of items controlled is contained in
the ECCN heading.
3D002 ‘‘Software’’ ‘‘specially designed’’ for
the ‘‘use’’ of equipment controlled by
3B001.a to .f, or 3B002.
License Requirements
Reason for Control: NS, RS, AT
Control(s)
To or within destinations specified in
Country Groups
D:1, D:4, and D:5
of supplement no. 1
to part 740 of the
EAR, excluding any
destination also
specified in Country
Groups A:5 or A:6.
See
§ 742.6(a)(6)(iii) of
the EAR.
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.6(a)(6)(i) of
the EAR.
AT Column 1.
Reporting Requirements
See § 743.1 of the EAR for reporting
requirements for exports under License
Exceptions, and Validated End-User
authorizations.
PO 00000
96827
Sfmt 4700
NS applies to entire
entry.
NS applies to ‘‘software’’ for equipment controlled by
3B001.c.1.a or
c.1.c.
RS applies to ‘‘software’’ for equipment controlled by
3B001.c.1.a or
c.1.c.
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
NS Column 1.
Worldwide control.
See § 742.4(a)(5)
and (b)(10) of the
EAR.
Worldwide control.
See § 742.6(a)(10)
and (b)(11) of the
EAR.
AT Column 1.
License Requirements Note: See § 744.17
of the EAR for additional license
requirements for microprocessors having a
processing speed of 5 GFLOPS or more and
an arithmetic logic unit with an access width
of 32 bit or more, including those
incorporating ‘‘information security’’
functionality, and associated ‘‘software’’ and
‘‘technology’’ for the ‘‘production’’ or
‘‘development’’ of such microprocessors.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
TSR: Yes, except N/A for RS.
E:\FR\FM\05DER2.SGM
05DER2
96828
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
IEC: Yes, for ‘‘software’’ for equipment
controlled by 3B001.c.1.a and 3B001.c.1.c,
see § 740.2(a)(22) and § 740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be
used to ship or transmit ‘‘software’’
‘‘specially designed’’ for the ‘‘use’’ of
equipment specified by 3B001.c.1.a or c.1.b
to any of the destinations listed in Country
Group A:5 or A:6 (See supplement no.1 to
part 740 of the EAR)
List of Items Controlled
Related Controls: Also see 3D991.
Related Definitions: N/A
Items: The list of items controlled is
contained in the ECCN heading.
*
*
*
*
*
3D992 ‘‘Software’’ for the ‘‘development’’
or ‘‘production’’ of commodities
specified in 3B001.a.4, c, d, f.1, f.5, k to
n, p.2, p.4, r, or 3B002.c and ‘‘software’’
as follows (see List of Items Controlled).
License Requirements
Reason for Control: NS, RS, AT
Control(s)
NS applies to the entire entry.
RS applies to the entire entry.
khammond on DSK9W7S144PROD with RULES2
NS applies to ‘‘software’’ for equipment controlled by
3B001.c.1.a or
c.1.c.
RS applies to ‘‘software’’ for equipment controlled by
3B001.c.1.a or
c.1.c.
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.4(a)(4) of the
EAR.
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.6(a)(6)(i) of
the EAR.
Worldwide control.
See § 742.4(a)(5)
and (b)(10) of the
EAR.
Worldwide control.
See § 742.6(a)(10)
and (b)(11) of the
EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
TSR: N/A
IEC: Yes, for ‘‘software’’ for equipment
controlled by 3B001.c.1.a and 3B001.c.1.c,
see § 740.2(a)(22) and § 740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be
used to ship or transmit ‘‘software’’
specified in this ECCN to any of the
destinations listed in Country Group A:5 or
A:6 (See supplement no.1 to part 740 of the
EAR).
VerDate Sep<11>2014
16:58 Dec 04, 2024
Jkt 265001
List of Items Controlled
Related Controls: For additional controls that
apply to this ECCN, see also
§ 744.11(a)(2)(v) and (a)(3) and
§ 744.23(a)(4)(iii) of the EAR.
Related Definitions: N/A
Items:
a. ‘‘Software’’ for the ‘‘development’’ or
‘‘production,’’ of commodities specified in
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, or
3B002.c; and
b. ‘Electronic Computer-Aided Design’
(‘ECAD’) ‘‘software’’ designed for the
integration of multiple dies into a ‘multichip’ integrated circuit, and having all of the
following:
b.1. Floor planning; and
b.2. Co-design or co-simulation of die and
package.
Technical Note: For the purposes of
3D992.b, ‘multi-chip’ includes multi-die and
multi-chiplet.
3D993 ‘‘Software’’ for the ‘‘development’’
or ‘‘production’’ of commodities
specified in 3B993 and ‘‘software’’ as
follows (see List of Items Controlled).
License Requirements
Reason for Control: RS, AT
Control(s)
RS applies to entire
entry.
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
See § 742.6(a)(11) of
the EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
TSR: N/A
Special Conditions for STA
STA: License Exception STA may not be
used to ship or transmit ‘‘software’’
specified in this ECCN to any of the
destinations listed in Country Group A:5 or
A:6 (see supplement no.1 to part 740 of the
EAR).
List of Items Controlled
Related Controls: For additional controls that
apply to this ECCN, see also
§ 744.11(a)(2)(v) and (a)(3) and
§ 744.23(a)(4)(iii) of the EAR.
Related Definitions: N/A
Items:
a. ‘‘Software’’ for the ‘‘development’’ or
‘‘production’’ of commodities specified in
3B993.
b. ‘Electronic Computer-Aided Design’
(‘ECAD’) ‘‘software’’ designed or modified for
the ‘‘development’’ or ‘‘production’’ of
integrated circuits using multipatterning.
c. ‘Computational lithography’ ‘‘software’’
designed or modified for the ‘‘development’’
or ‘‘production’’ of patterns on DUV
lithography masks or reticles.
d. ‘‘Software’’ designed or modified to
increase the number of wafers processed per
hour, averaged over any time interval, by
greater than 1%, of equipment specified in
3B001.f.1 or 3B993.f.1.
Technical Note: For the purposes of 3D993,
‘computational lithography’ is the use of
PO 00000
Frm 00040
Fmt 4701
Sfmt 4700
computer modelling to predict, correct,
optimize and verify imaging performance of
the lithography process over a range of
patterns, processes, and system conditions.
*
*
*
*
*
3D994 ‘‘Software’’ for the ‘‘development’’
or ‘‘production’’ of commodities
specified in 3B994 and ‘‘software’’ as
follows (see List of Items Controlled).
License Requirements
Reason for Control: RS, AT
Country chart
(see Supp. No. 1
to part 738)
Control(s)
RS applies to entire
entry.
AT applies to entire
entry.
See § 742.6(a)(11) of
the EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
TSR: N/A
Special Conditions for STA
STA: License Exception STA may not be
used to ship or transmit ‘‘software’’
specified in this ECCN to any of the
destinations listed in Country Group A:5 or
A:6 (see supplement no. 1 to part 740 of
the EAR).
List of Items Controlled
Related Controls: For additional controls that
apply to this ECCN, see also
§ 744.11(a)(2)(v) and (a)(3) and
§ 744.23(a)(4)(iii) of the EAR.
Related Definitions: N/A
Items: The list of items controlled is
contained in the ECCN heading.
*
*
*
*
*
3E001 ‘‘Technology’’ according to the
General Technology Note for the
‘‘development’’ or ‘‘production’’ of
commodities controlled by 3A (except
3A901, 3A904, 3A980, 3A981, 3A991,
3A992, or 3A999), 3B (except 3B001.a.4,
c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c,
3B903, 3B904, 3B991, 3B992, 3B993, or
3B994) or 3C (except 3C907, 3C908,
3C909, or 3C992).
License Requirements
Reason for Control: NS, MT, NP, RS, AT
Control(s)
NS applies to ‘‘technology’’ for commodities controlled
by 3A001, 3A002,
3A003, 3B001 (except as noted in
the heading),
3B002 (except
3B002.c), or 3C001
to 3C006.
MT applies to ‘‘technology’’ for commodities controlled
by 3A001 or 3A101
for MT Reasons.
E:\FR\FM\05DER2.SGM
05DER2
Country chart
(see Supp. No. 1
to part 738)
NS Column 1.
MT Column 1.
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
Control(s)
NP applies to ‘‘technology’’ for commodities controlled
by 3A001, 3A201,
or 3A225 to 3A234
for NP reasons.
RS applies to ‘‘technology’’ for commodities controlled
in 3A090, when exported from Macau
or a destination
specified in Country Group D:5.
RS applies to ‘‘technology’’ for commodities controlled
by 3A001.z, 3A090
(except for
3A090.c).
RS applies to ‘‘technology’’ for commodities controlled
by 3A090.c.
RS applies to ‘‘technology’’ for commodities controlled
by 3A001.a.15 or
b.13, 3A004,
3B003, 3C007,
3C008, or 3C009.
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
NP Column 1.
Worldwide (see
§ 742.6(a)(6)(ii)).
To or within destinations specified in
Country Groups
D:1, D:4, and D:5
of supplement no. 1
to part 740 of the
EAR, excluding any
destination also
specified in Country
Groups A:5 or A:6.
See
§ 742.6(a)(6)(iii) of
the EAR.
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.6(a)(6)(i)(B)
of the EAR.
RS Column 2.
AT Column 1.
Reporting Requirements
See § 743.1 of the EAR for reporting
requirements for exports under License
Exceptions, Special Comprehensive Licenses,
and Validated End-User authorizations.
khammond on DSK9W7S144PROD with RULES2
Control(s)
NS applies to the entire entry.
RS applies to the entire entry.
Special Conditions for STA
License Requirements Note: See § 744.17
of the EAR for additional license
requirements for microprocessors having a
processing speed of 5 GFLOPS or more and
an arithmetic logic unit with an access width
of 32 bit or more, including those
incorporating ‘‘information security’’
functionality, and associated ‘‘software’’ and
‘‘technology’’ for the ‘‘production’’ or
‘‘development’’ of such microprocessors.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
TSR: Yes, except N/A for MT, and
‘‘technology’’ for the ‘‘development’’ or
‘‘production’’ of: (a) vacuum electronic
device amplifiers described in 3A001.b.8,
having operating frequencies exceeding 19
GHz; (b) solar cells, coverglassinterconnect-cells or covered-interconnect-
VerDate Sep<11>2014
cells (CIC) ‘‘assemblies,’’ solar arrays and/
or solar panels described in 3A001.e.4; (c)
‘‘Monolithic Microwave Integrated Circuit’’
(‘‘MMIC’’) amplifiers in 3A001.b.2; (d)
discrete microwave transistors in
3A001.b.3; and (e) commodities described
in 3A090.
Note: See § 740.2(a)(9)(ii) of the EAR for
license exception restrictions for ECCN
3E001 ‘‘technology’’ for commodities
controlled by 3A001.z, 3A090.
IEC: Yes, for ‘‘technology’’ for equipment
controlled by 3B001.q, see § 740.2(a)(22)
and § 740.24 of the EAR.
16:58 Dec 04, 2024
Jkt 265001
STA: License Exception STA may not be
used to ship or transmit ‘‘technology’’
according to the General Technology Note
for the ‘‘development’’ or ‘‘production’’ of
equipment specified by ECCNs 3A002.g.1
or 3B001.a.2 to any of the destinations
listed in Country Group A:6 (see
supplement no.1 to part 740 of the EAR).
License Exception STA may not be used to
ship or transmit ‘‘technology’’ according to
the General Technology Note for the
‘‘development’’ or ‘‘production’’ of
components specified by ECCN 3A001.b.2,
b.3, commodities specified in 3A090, to
any of the destinations listed in Country
Group A:5 or A:6 (see supplement no. 1 to
part 740 of the EAR).
List of Items Controlled
Related Controls: (1) ‘‘Technology’’ according
to the General Technology Note for the
‘‘development’’ or ‘‘production’’ of certain
‘‘space-qualified’’ atomic frequency
standards described in Category XV(e)(9),
MMICs described in Category XV(e)(14),
and oscillators described in Category
XV(e)(15) of the USML are ‘‘subject to the
ITAR’’ (see 22 CFR parts 120 through 130).
See also ECCNs 3E101, 3E201 and 9E515.
(2) ‘‘Technology’’ for ‘‘development’’ or
‘‘production’’ of ‘‘Microwave Monolithic
Integrated Circuits’’ (‘‘MMIC’’) amplifiers
in 3A001.b.2 is controlled in this ECCN
3E001; 5E001.d refers only to that
additional ‘‘technology’’ ‘‘required’’ for
telecommunications.
Related Definition: N/A
Items: The list of items controlled is
contained in the ECCN heading.
Note 1: 3E001 does not control
‘‘technology’’ for equipment or
‘‘components’’ controlled by 3A003.
Note 2: 3E001 does not control
‘‘technology’’ for integrated circuits
controlled by 3A001.a.3 to a.14 or .z, having
all of the following:
(a) Using ‘‘technology’’ at or above 0.130
mm; and
(b) Incorporating multi-layer structures
with three or fewer metal layers.
*
*
*
*
*
3E992 ‘‘Technology’’ for the ‘‘production’’
or ‘‘development’’ of commodities
specified in 3B001.a.4, c, d, f.1, f.5, k to
n, p.2, p.4, r; and 3B002.c.
License Requirements
Reason for Control: NS, RS, AT
PO 00000
Frm 00041
Fmt 4701
Sfmt 4700
NS applies to ‘‘software’’ for equipment controlled by
3B001.c.1.a or
c.1.c.
RS applies to ‘‘software’’ for equipment controlled by
3B001.c.1.a or
c.1.c.
AT applies to entire
entry.
96829
Country chart
(see Supp. No. 1
to part 738)
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.4(a)(4) of the
EAR.
To or within Macau or
a destination specified in Country
Group D:5 of supplement no. 1 to
part 740 of the
EAR. See
§ 742.6(a)(6)(i) of
the EAR.
Worldwide control.
See § 742.4(a)(5)
and (b)(10) of the
EAR.
Worldwide control.
See § 742.6(a)(10)
and (b)(11) of the
EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
TSR: N/A
IEC: Yes, for ‘‘technology’’ for equipment
controlled by 3B001.c.1.a, and 3B001.c.1.c,
see § 740.2(a)(22) and § 740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be
used to ship or transmit ‘‘technology’’
specified in this ECCN to any of the
destinations listed in Country Group A:5 or
A:6 (see supplement no. 1 to part 740 of
the EAR).
List of Items Controlled
Related Controls: N/A
Related Definitions: N/A
Items: The list of items controlled is
contained in the ECCN heading.
3E993 ‘‘Technology’’ for the
‘‘development’’ or ‘‘production’’ of
commodities specified in 3B993 as
follows.
License Requirements
Reason for Control: RS, AT
Control(s)
RS applies to entire
entry.
AT applies to entire
entry.
Country chart
(see Supp. No. 1
to part 738)
See § 742.6(a)(11) of
the EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
TSR: N/A
E:\FR\FM\05DER2.SGM
05DER2
96830
Federal Register / Vol. 89, No. 234 / Thursday, December 5, 2024 / Rules and Regulations
Special Conditions for STA
DEPARTMENT OF COMMERCE
STA: License Exception STA may not be
used to ship or transmit ‘‘technology’’
specified in this ECCN to any of the
destinations listed in Country Group A:5 or
A:6 (see supplement no. 1 to part 740 of
the EAR).
List of Items Controlled
*
*
*
*
3E994 ‘‘Technology’’ for the
‘‘development’’ or ‘‘production’’ of
commodities specified in 3B994 and
‘‘technology’’ as follows (see List of
Items Controlled).
License Requirements
Reason for Control: RS, AT
Country chart
(see Supp. No. 1
to part 738)
Control(s)
RS applies to entire
entry.
AT applies to entire
entry.
See § 742.6(a)(11) of
the EAR.
AT Column 1.
List Based License Exceptions (See Part 740
for a Description of All License Exceptions)
TSR: N/A
Special Conditions for STA
STA: License Exception STA may not be
used to ship or transmit ‘‘technology’’
specified in this ECCN to any of the
destinations listed in Country Group A:5 or
A:6 (see supplement no. 1 to part 740 of
the EAR).
List of Items Controlled
khammond on DSK9W7S144PROD with RULES2
Related Controls: For additional controls that
apply to this ECCN, see also
§ 744.11(a)(2)(v) and (a)(3) and
§ 744.23(a)(4)(iii) of the EAR.
Related Definitions: N/A
Items: The list of items controlled is
contained in the ECCN heading.
*
*
*
*
*
Matthew S. Borman,
Principal Deputy Assistant Secretary for
Strategic Trade and Technology Security.
[FR Doc. 2024–28270 Filed 12–2–24; 8:45 am]
BILLING CODE 3510–33–P
VerDate Sep<11>2014
15 CFR Parts 744 and 748
[Docket No. 241126–0303]
RIN 0694–AJ77
Related Controls: For additional controls that
apply to this ECCN, see also
§ 744.11(a)(2)(v) and (a)(3) and
§ 744.23(a)(4)(iii) of the EAR.
Related Definitions: N/A
Items:
a. ‘‘Technology’’ for the ‘‘development’’ or
‘‘production’’ of commodities specified by
3B993.
b. ‘‘Technology’’ designed or modified to
increase the number of wafers processed per
hour, averaged over any time interval, by
greater than 1%, of equipment specified in
3B001.f.1 or 3B993.f.1.
*
Bureau of Industry and Security
17:59 Dec 04, 2024
Jkt 265001
Additions and Modifications to the
Entity List; Removals From the
Validated End-User (VEU) Program
Bureau of Industry and
Security, Commerce.
ACTION: Final rule.
AGENCY:
In this final rule, the Bureau
of Industry and Security (BIS) amends
the Export Administration Regulations
(EAR) by adding 140 entities to the
Entity List. These entries are listed on
the Entity List under the destinations of
China, People’s Republic of (China),
Japan, South Korea, and Singapore and
have been determined by the U.S.
Government to be acting contrary to the
national security and foreign policy
interests of the United States. This final
rule also modifies 14 existing entries on
the Entity List, consisting of revisions to
14 entries under China. This final rule
publishes concurrently with BIS’s
interim final rule, ‘‘Foreign-Produced
Direct Product Rule Additions, and
Refinements to Controls for Advanced
Computing and Semiconductor
Manufacturing Items’’ (0694–AJ74),
which makes additional changes to the
EAR controls on advanced computing
items and semiconductor manufacturing
items. This final rule is part of this
larger effort to ensure that appropriate
EAR controls are in place on these
items, including in connection with
transactions destined to or otherwise
involving the entities being added to the
Entity List, as well as for existing entries
on the Entity List that are being
modified. All of these entities (those
newly added and those being modified)
are involved with the development and
production of ‘‘advanced-node
integrated circuits’’ (‘‘advanced-node
ICs’’) and/or semiconductor
manufacturing items, and/or have
supported the Chinese government’s
Military-Civil Fusion (MCF)
Development Strategy. Additionally,
this final rule designates nine of these
entities being added and seven of the
entries being modified as entities for
which entity-specific restrictions
involving foreign-produced items apply.
This final rule also amends the EAR by
removing three entities from the
Validated End-User (VEU) Program.
DATES:
SUMMARY:
PO 00000
Frm 00042
Fmt 4701
Sfmt 4700
Effective date: This rule is effective
December 2, 2024.
Compliance date: The changes being
made in this final rule that pertain to
Entity List license requirements and
other Entity List-related requirements
linked to Footnote 5 designations have
a compliance date of December 31,
2024.
For
questions on the Entity List and VEU
Program changes in this final rule,
contact Chair, End-User Review
Committee, Office of the Assistant
Secretary for Export Administration,
Bureau of Industry and Security,
Department of Commerce, Phone: (202)
482–5991, Email: ERC@bis.doc.gov.
FOR FURTHER INFORMATION CONTACT:
SUPPLEMENTARY INFORMATION:
I. Background
A. Entity List and End-User Review
Committee (ERC)
The Entity List (supplement no. 4 to
part 744 of the EAR (15 CFR parts 730
through 774)) identifies entities for
which there is reasonable cause to
believe, based on specific and
articulable facts, that the entities have
been involved, are involved, or pose a
significant risk of being or becoming
involved in activities contrary to the
national security or foreign policy
interests of the United States, pursuant
to § 744.11(b). The EAR imposes
additional license requirements on, and
limit the availability of, most license
exceptions for exports, reexports, and
transfers (in-country) when an entity
listed on the Entity List is a party to the
transaction. The license review policy
for each listed entity is identified in the
‘‘License Review Policy’’ column on the
Entity List, and the impact on the
availability of license exceptions is
described in the relevant Federal
Register document that added the entity
to the Entity List. BIS places entities on
the Entity List pursuant to parts 744
(Control Policy: End-User and End-Use
Based) and 746 (Embargoes and Other
Special Controls) of the EAR.
The ERC, composed of representatives
of the Departments of Commerce
(Chair), State, Defense, Energy and,
where appropriate, the Treasury, makes
all decisions regarding additions to,
removals from, or other modifications to
the Entity List. The ERC makes all
decisions to add an entry to the Entity
List by majority vote and makes all
decisions to remove or modify an entry
by unanimous vote.
E:\FR\FM\05DER2.SGM
05DER2
Agencies
[Federal Register Volume 89, Number 234 (Thursday, December 5, 2024)]
[Rules and Regulations]
[Pages 96790-96830]
From the Federal Register Online via the Government Publishing Office [www.gpo.gov]
[FR Doc No: 2024-28270]
[[Page 96789]]
Vol. 89
Thursday,
No. 234
December 5, 2024
Part III
Department of Commerce
-----------------------------------------------------------------------
Bureau of Industry and Security
-----------------------------------------------------------------------
15 CFR Parts 732, 734, 736 et. al
Foreign-Produced Direct Product Rule Additions, and Refinements to
Controls for Advanced Computing and Semiconductor Manufacturing Items;
Additions and Modifications to the Entity List; Removals From the
Validated End-User (VEU) Program; Final Rules
Federal Register / Vol. 89 , No. 234 / Thursday, December 5, 2024 /
Rules and Regulations
[[Page 96790]]
-----------------------------------------------------------------------
DEPARTMENT OF COMMERCE
Bureau of Industry and Security
15 CFR Parts 732, 734, 736, 740, 742, 744, 746, 758, 762, 772, and
774
[Docket No. 241126-0302]
RIN 0694-AJ74
Foreign-Produced Direct Product Rule Additions, and Refinements
to Controls for Advanced Computing and Semiconductor Manufacturing
Items
AGENCY: Bureau of Industry and Security, Commerce.
ACTION: Interim final rule.
-----------------------------------------------------------------------
SUMMARY: In this interim final rule (IFR), the Bureau of Industry and
Security (BIS) makes changes to the Export Administration Regulations
(EAR) controls for certain advanced computing items, supercomputers,
and semiconductor manufacturing equipment, which includes adding new
controls for certain semiconductor manufacturing equipment and related
items, creating new Foreign Direct Product (FDP) rules for certain
commodities to impair the capability to produce ``advanced-node
integrated circuits'' (``advanced-node ICs'') by certain destinations
or entities of concern, adding new controls for certain high bandwidth
memory important for advanced computing, and clarifying controls on
certain software keys that allow for the use of items such as software
tools. This IFR publishes concurrently with another BIS final rule
entitled, ``Additions and Modifications to the Entity List; and
Removals from the Validated End-User (VEU) Program'' (Entity List rule)
that adds to and modifies the Entity List to ensure appropriate EAR
controls are in place for certain critical technologies and to minimize
the risk of diversion to entities of concern.
DATES:
Effective date: This rule is effective December 2, 2024.
Compliance dates: Although this rule is effective December 2, 2024,
exporters, reexporters, and transferors are not required to comply with
the changes made in the following amendatory instructions until the
compliance dates specified below for the respective amendatory
instructions. If no compliance date is provided, the parties must
comply with those requirements as of the effective date of this IFR.
The changes made in this IFR in amendatory instructions 2
(Red Flags) and 6 (Sec. 734.19) have a compliance date of December 2,
2024.
The changes made in this IFR in amendatory instructions 4,
5, 15, 16, 17, 19, 20, 21, 23, 25, 29, and 31 (ECCNs 3B001, 3B002,
3B991, 3B992, 3B993, 3B994, 3A090, 3D001 (related to 3A090.c and 3B
commodities), 3D002, 3D992, 3D993, 3D994, 3E001 (related to 3A090.c and
3B commodities) (HBM controls and related changes), 3E992, 3E993, and
3E994) FN5, and FDP rules, and related changes, and DRAM definition
changes) have a compliance date of December 31, 2024.
Comments due date: Comments must be received by BIS no later than
January 31, 2025.
ADDRESSES: Comments on this IFR may be submitted to the Federal
rulemaking portal at: www.regulations.gov. The regulations.gov ID for
this IFR is BIS-2024-0028. Please refer to RIN 0694-AJ74 in all
comments.
All filers using the portal should use the name of the person or
entity submitting the comments as the name of their files, in
accordance with the instructions below. Anyone submitting business
confidential information should clearly identify the business
confidential portion at the time of submission, file a statement
justifying nondisclosure and referring to the specific legal authority
claimed, and provide a non-confidential version of the submission.
For comments submitted electronically containing business
confidential information, the file name of the business confidential
version should begin with the characters ``BC.'' Any page containing
business confidential information must be clearly marked ``BUSINESS
CONFIDENTIAL'' on the top of that page. The corresponding non-
confidential version of those comments must be clearly marked
``PUBLIC.'' The file name of the non-confidential version should begin
with the character ``P.'' Any submissions with file names that do not
begin with either a ``BC'' or a ``P'' will be assumed to be public and
will be made publicly available at: https://www.regulations.gov.
Commenters submitting business confidential information are encouraged
to scan a hard copy of the non-confidential version to create an image
of the file, rather than submitting a digital copy with redactions
applied, to avoid inadvertent redaction errors which could enable the
public to read business confidential information.
FOR FURTHER INFORMATION CONTACT:
For general questions, contact Regulatory Policy Division,
Office of Exporter Services, Bureau of Industry and Security, U.S.
Department of Commerce at 202-482-2440 or by email: [email protected].
For Category 3 technical questions, contact Carlos Monroy
at 202-482-3246 or by email: [email protected].
SUPPLEMENTARY INFORMATION:
I. Background
A. BIS's Implementation of Export Controls To Address National Security
Risks and Foreign Policy Objectives Associated With the People's
Republic of China (PRC)'s Use of Advanced Computing, Supercomputer, and
Semiconductor Manufacturing
PRC leadership at the highest levels has stressed the importance of
building an indigenous and self-sufficient semiconductor ecosystem,
referring to ICs in particular as critical to PRC national security
strategy. Reporting from PRC state-owned media outlets has even
referred to integrated circuits (ICs) as the ``main battlefield'' of
the PRC's Military-Civil Fusion (MCF) National Strategy to eliminate
barriers between the PRC's civilian research and commercial sectors and
its military and defense industrial sectors to ensure that innovations
in the civilian sector simultaneously advance the PRC's military
capabilities. The Chinese Communist Party (CCP) aims to achieve a world
class military by 2049 through MCF. Due to the significance of
semiconductors to that strategy and the PRC's technology ambitions, PRC
political and scientific leaders have sought to develop an
``independent and controllable'' semiconductor industry for decades--
one that is fully within the government's control and not reliant on
foreign suppliers. The PRC has also mandated and incentivized relevant
domestic firms to dedicate significant resources to realizing these
strategic objectives, demonstrating the top-down, hands-on approach
that the PRC is taking to shape this ecosystem to benefit itself, with
a related detriment to the technology leadership of the United States
and its allies.
Export controls on semiconductor manufacturing equipment (SME) and
related parts and components are central to countering the PRC's goal
of furthering its ``advanced-node ICs'' production capacity in support
of its military modernization and weapons of mass destruction (WMD)
programs. Since October 2022, BIS has published a series of IFRs
imposing controls on advanced computing and supercomputing items and
SME, starting with an IFR that was issued on October 7, 2022,
``Implementation of Additional Export Controls: Certain Advanced
Computing and
[[Page 96791]]
Semiconductor Manufacturing Items; Supercomputer and Semiconductor End
Use; Entity List Modification'' (October 7 IFR) (87 FR 62186, October
13, 2022). The October 7 IFR amended the EAR to implement controls on
advanced computing ICs, computer commodities that contain such ICs, and
certain SME and parts and components needed to produce those and other
advanced ICs, and to make other EAR changes to implement appropriate
related controls, including on certain ``U.S. person'' activities that
`support' (as defined in Sec. 744.6 of the EAR) the ``development'' or
``production'' of certain ICs in the PRC.
The October 7 IFR explained that these controls were aimed at
limiting the PRC's ability to engage in activities that would pose
significant threats to U.S. national security and foreign policy.
Specifically, BIS determined that certain advanced computing ICs and
related computing items--many of which originated in the United States
or were produced with U.S. technology, software, or tools--could enable
the PRC to develop certain enhanced data processing and analysis
capabilities, including through AI applications because of the high
processing power of the advanced ICs and related computing items.
Additionally, BIS determined that the capability to produce advanced
computing ICs for advanced computing systems, such as AI systems,
through the use of certain SME, presented significant national security
and foreign policy concerns because indigenous production is another
means to obtain ``advanced computing ICs'' and other advanced computing
systems. These capabilities could be used by the PRC to further its
military modernization efforts, improve calculations in weapons design
and testing (including for WMD), and violate basic human rights through
comprehensive surveillance programs. As previously stated, these
activities are contrary to U.S. national security and foreign policy as
set forth in the Export Control Reform Act of 2018 (ECRA) (codified, as
amended, at 50 U.S.C. 4801-4852), which directs BIS to control items
subject to the jurisdiction of the United States when those items could
be used in ``military programs that pose a threat to the security of
the United States or its allies,'' could lead to ``the proliferation of
weapons of mass destruction or of conventional weapons,'' or could
undermine the ``foreign policy of the United States, including the
protection of human rights and the promotion of democracy'' (50 U.S.C.
4811(2)).
To effectuate its controls under the October 7 IFR--and,
consequently, to help reduce the risk that U.S. technology would
contribute to activities that threaten U.S. national security and
foreign policy--BIS added new Export Control Classification Numbers
(ECCNs) 3A090 (specified high-performance ICs), 4A090 (related
computing items), and 3B090 (specified SME essential to produce
``advanced-node ICs'').
Because many of the advanced computing ICs and related computing
items controlled by the October 7 IFR are produced by manufacturers
outside the United States relying on U.S. software, technology, or
tools, the October 7 IFR also imposed two additional foreign direct
product (FDP) rules. As a general matter, the EAR asserts jurisdiction
over certain foreign-produced items made with the use of U.S.
technology, software, or tools, as described under the various FDP
rules in Sec. 734.9 of the EAR. The FDP rules do not apply to all
items and end users. Instead, FDP rules are targeted to cover specific
combinations of items, destinations, end users, or end uses.
Accordingly, the October 7 IFR implemented two new FDP rules related to
advanced computing items and ``supercomputers'' to extend jurisdiction
over certain items produced in foreign countries and destined to the
PRC or certain entities in the PRC. BIS also expanded the scope of an
existing FDP rule that covers items destined for certain entities on
the Entity List.
In 2023, BIS issued two rules imposing additional controls on
advanced computing and semiconductor manufacturing items. In the IFR,
``Export Controls on Semiconductor Manufacturing Items'' (SME IFR) (88
FR 73424, October 25, 2023), BIS added controls for additional SME
essential to producing ``advanced-node ICs'' and required licenses for
such SME, as well as for SME previously controlled under ECCN 3B090
(now 3B001 and 3B002), when destined to Macau and Country Group D:5.
The same day it issued the SME IFR, BIS issued a second rule to address
advanced computing chips. The IFR, ``Implementation of Additional
Export Controls: Certain Advanced Computing Items; Supercomputer and
Semiconductor End Use; Updates and Corrections'' (AC/S IFR) (88 FR
73458, October 25, 2023), adjusted parameters for advanced computing
ICs that are critical for advanced computing and AI applications, and
imposed new measures to address the risk of circumvention of the
controls, including expanding the license requirement for advanced
computing ICs to apply to Country Groups D:1, D:4, and D:5.
Like the October 7 IFR, the SME IFR described the national security
rationale for expanding controls on SME. The SME IFR noted that the
controls protect U.S. national security by restricting the PRC's
military modernization efforts and degrading the PRC's ability to
violate human rights. The SME IFR further noted that the controlled SME
has profound implications for U.S. national security, including
production of the advanced computing ICs that could further development
of weapons of mass destruction and emerging technologies, such as
advanced artificial intelligence systems, autonomous weapons,
cyberweapons, hypersonics, and high-tech surveillance applications. The
PRC's statements and activities suggest it would use its next
generation military capabilities to engage in activities contrary to
U.S. and allied national security interests.
B. In Order Address the Continuing Threat Posed by the PRC's Efforts To
Acquire Advanced Computing Items, Supercomputing Items, and SME, This
IFR Imposes Additional Controls Related to Such Items
There is substantial open source and unclassified information
detailing the PRC's efforts to respond to the impact of current
restrictions on SME, including key parts and components, through
supporting semiconductor research and development, building additional
facilities as alternate suppliers to fabrication facilities, and
funding indigenous producers of SME.
As such, to address the continued threat from the PRC's efforts to
develop an ``independent and controllable'' semiconductor industry to
produce ``advanced-node ICs'' to help achieve a world-class military,
BIS is imposing additional controls on certain types of SME and related
items that are used to produce ``advanced-node ICs.'' BIS is also
establishing new foreign FDP controls for certain SME items that
originate in foreign countries but are produced with U.S. technology,
software, or tools, as well as SME items that contain essential
components, like ICs, that could not be produced without U.S.
technology, software, or tools. These changes, and the related national
security and foreign policy considerations, are described below under
sections III.A and III.B. The addition of new FDP rules also requires
certain additional revisions to parts 734 and 736, which are described
below under sections III.A.4 (regarding the FDP rules) and III.B.1
(regarding de minimis changes) of this preamble.
Additionally, BIS is imposing new controls on certain high-
bandwidth
[[Page 96792]]
memory (HBM) commodities that provide necessary memory capacity and
bandwidth needed for advanced artificial intelligence (AI) models and
supercomputing applications. Such applications can enable advanced
military and intelligence applications, lower the barriers to entry for
non-experts to develop WMD, support powerful offensive cyber
operations, and assist in using mass surveillance to commit human
rights abuses. In accordance with the policy goals described in Sec.
1752(1)(A) and (2)(D), imposing controls on certain HBM is necessary to
restrict items that can make a ``significant contribution to the
military potential of any other country'' and to ``carry out the
foreign policy of the United States, including the protection of human
rights.''
Finally, this IFR also adds new paragraph (b) to 734.19 to specify
that software keys, also called software license keys, which allow
users the ability to use ``software'' or hardware by providing access
to it, and software keys that renew existing ``software'' or hardware
use licenses, are classified and controlled under the same ECCNs on the
Commerce Control List (CCL) (15 CFR part 744) as the corresponding
``software'' or hardware to which they provide access, or in the case
of hardware, the software key would be classified under the
corresponding ECCN in the software group (e.g., a software license key
that allows the use of hardware classified under ECCN 5A992 would be
classified under ECCN 5D992). This clarification applies to, among
other items, software keys for electronic computer-aided design (ECAD)
tools that are important to the development and production of
``advanced-node ICs'' and thus can have a significant impact on
military programs posing a threat to the security of the United States
and its allies (see Sec. 1752(2)(A)(iv) of ECRA). Additionally, as
noted under Sec. 1752(7) of ECRA, administering export controls in an
effective manner ``requires a clear understanding both inside and
outside the U.S. Government of which items are controlled.'' This
clarification enhances the exporting community's understanding to
prevent unauthorized access to controlled ``software'' or hardware.
C. Additional Changes and Relation of This IFR With the Accompanying
BIS Rule, ``Additions and Modifications to the Entity List; and
Removals From the Validated End-User (VEU) Program.''
The controls implemented since October 2022 have also added or
expanded end-use controls under part 744 of the EAR. In particular, the
addition of Sec. 744.23 and the expansion of the ``U.S. person''
control under Sec. 744.6 have supplemented the CCL-based controls to
address the national security and foreign policy concerns with these
items. Public comments on both the SME and AC/S IFRs published since
October 2022 in this area have requested the U.S. Government to
publicly identify ``production'' ``facilities'' for ``advanced-node
ICs,'' or entities engaged in the indigenization of SME. In the SME IFR
and AC/S IFR, BIS noted its support of identifying such entities to
enhance compliance by exporters, reexporters, and transferors and to
improve the effectiveness of the controls.
Consequently, BIS is publishing concurrently with this IFR the
Entity List rule. The Entity List rule adds entities to the Entity List
because of specific national security or foreign policy concerns
regarding those entities, which are described in that rule. The
addition and modification of certain entities on the Entity List are
designed to impair their current or potential ``development'' or
``production'' of ``advanced-node ICs.'' The addition of other entities
on the Entity List are designed to impair their ability to produce SME
and related items. The Entity List rule publishes concurrently with
this IFR as part of the larger effort to ensure appropriate EAR
controls are in place on these items, including in connection with
transactions destined to, or otherwise involving, the entities being
added to the Entity List, as well as for existing entries on the Entity
List that this final rule modifies.
The Entity List rule publishing concurrently with this IFR adds
entities to the Entity List and modifies certain existing entries on
the Entity List. As part of that Entity List rule, BIS has included a
Footnote 5 (which extends EAR jurisdiction to certain foreign-produced
items pursuant to Sec. 734.9(e)(3)) designation for certain entities
being added to the Entity List and to certain entities already on the
Entity List. While the Entity List rule adds Footnote 5 designations to
specific entities, this IFR adds FDP rules, license requirements,
license exceptions, and Temporary General Licenses (TGLs) that pertain
to exports, exports from abroad, reexports, and transfers (in-country)
when these entities are involved in the transaction. See the Entity
List rule publishing concurrently with this IFR for an identification
of which new and modified entities on the Entity List will receive a
Footnote 5 designation.
As BIS identifies additional entities that warrant being subject to
these controls, they will be added to the Entity List. However,
exporters, reexporters, and transferors must continue to conduct due
diligence, including by reviewing transactions for Red Flags (i.e.,
circumstances in a transaction that indicate that the export may be
destined for an inappropriate end use, end user, or destination). To
assist parties in identifying Red Flags, this IFR adds eight new Red
Flags to supplement no. 3 to part 732. The Red Flags are discussed in
section III.C.5 of this preamble.
The controls implemented since October 2022 are calibrated to
impact ``advanced-node IC'' production (and related development)
activities without significantly impacting global commercial supply
chains. However, calibrated controls by their nature tend to be more
complex than broader controls. BIS has evaluated the effectiveness of
these controls and has identified revisions to enhance clarity and to
ensure the controls are effectively addressing national security and
foreign policy concerns. BIS is still in the process of reviewing and
responding to the comments received on the SME IFR and AC/S IFR, as
well as comments on another IFR, ``Implementation of Additional Export
Controls: Certain Advanced Computing Items; Supercomputer and
Semiconductor End Use; Updates and Corrections; and Export Controls on
Semiconductor Manufacturing Items; Corrections and Clarifications''
(April 4 IFR) (88 FR 23876, April 4, 2024). However, at this time, BIS
has identified additional changes that will be implemented in this IFR.
BIS is soliciting comments on these changes and will address any such
comments along with comments on the three earlier IFRs as needed in a
subsequent rule.
The controls enacted by this IFR, as described in section III
below, are consistent with ECRA. The underlying purpose of ECRA
encompasses restricting the products of U.S. ``technology,''
``software,'' and ``commodities'' that could be used to undermine U.S.
national security and foreign policy. As explained above, the PRC's
goal of achieving a world class military by 2049 is a critical concern
to U.S. national security and foreign policy. ECRA provides that the
policy of the United States is ``to restrict the export of items which
would make a significant contribution to the military potential of any
other country or combination of countries which would prove detrimental
to the national security of the United States; and to restrict the
export of items if necessary to further significantly the foreign
[[Page 96793]]
policy of the United States . . .'' (50 U.S.C. 4811(1)). Because the
development of a PRC ``independent and controllable'' semiconductor
industry is a critical factor in the PRC's ability to achieve its goal,
ECRA authorizes the implementation of sufficient controls to prevent
the PRC's possession of sensitive items and is necessary to advance the
U.S. national security and foreign policy interests.
II. Overview of This Interim Final Rule
In this IFR, BIS makes changes to EAR controls for advanced
computing items, supercomputers, and SME. The five categories of
changes implemented by this IFR are described in section III as
follows:
A. Addition of two new FDP rules in Sec. 734.9 of the EAR for
certain types of advanced SME and for entities on the Entity List
involved in the production of ``advanced-node ICs'';
B. Additional revisions related to the production of semiconductors
and other conforming changes, including revisions to de minimis
provisions that correlate to the new FDP rules, establishment of new
License Exception Restricted Fabrication ``Facility'' (RFF), addition
of eight new Red Flags, clarifications to Sec. 744.23, and revisions
and conforming changes to other parts of the EAR;
C. Addition of HBM controls, including addition of new ECCN 3A090.c
and License Exceptions HBM;
D. Clarification to software keys to address when authorization is
required; and
E. Revisions to the CCL in supplement no. 1 to part 774, including
revisions to eight existing ECCNs and addition of eight new ECCNs.
III. Changes to the EAR
A. Addition of Two New Foreign Direct Product Rules Related to the
Production of ``Advanced-Node ICs''
1. National Security and Foreign Policy Considerations for New FDP Rule
for Entities on the Entity List Involved in the Production of
``Advanced-Node ICs'' in Countries of Concern
This IFR implements a new FDP rule for certain SME that are
essential to, or support, producing ``advanced-node ICs,'' which have
important military applications (SME FDP). This interim final rule also
implements a new FDP rule for entities on the Entity List designated
with new Footnote 5 (FN5 FDP). The entities added to the Entity List
with a Footnote 5 designation are being added because of specific
national security or foreign policy concerns described in the Entity
List rule, including supporting, or having the potential to support,
the PRC's efforts to develop and produce ``advanced-node ICs,''
including for military end uses.
As explained in the October 7 IFR, the SME IFR, and above in this
IFR, the capability to produce ``advanced-node ICs'' is a force-
multiplying technology that has critical implications for national
security and foreign policy. SME is needed to produce ``advanced-node
ICs,'' and the ability to produce ``advanced-node ICs'' has impacts
across various technology ecosystems critical to national security. For
example, ``advanced-node ICs'' improve computing power and efficiency
relative to older IC technology, enabling the compute miniaturization
necessary for the next generation of autonomous weapons systems, as
well as the compute scaling necessary for exascale supercomputing and
advanced AI capabilities, both of which can directly contribute to
development of WMD, advanced weapons systems, and high-tech
surveillance applications.
In particular, advancements in large-scale AI models have shown
striking performance improvements and can be utilized for advanced
military and intelligence applications. These large-scale AI models
have the ability to rapidly review large volumes of information and
synthesize it into digestible and actionable points, making them well-
suited for battlefield capabilities and thus having the potential to
alter the nature of warfare. They may also pose threats to national
security and foreign policy by diffusing dangerous capabilities by
lowering the barrier to develop cyberweapons or chemical, biological,
radiological, or nuclear weapons, developing tools with increasingly
autonomous capabilities relevant to national security applications, and
utilizing facial and voice recognition to surveil minorities and
political dissidents.
As discussed, BIS continues to advance U.S. national security and
foreign policy interests by imposing controls that reduce the risk U.S.
technology will contribute to the PRC's ability to carry out those
activities. Specifically, BIS has imposed controls on the SME and
related parts and components used to produce ``advanced-node ICs.'' BIS
has also added several FDP rules to Sec. 734.9 to extend the
jurisdiction of the EAR to additional foreign-made items, including
revisions to Sec. 734.9(e) to add a new product scope and end-user
scope for certain entities on the Entity List. This IFR also revises
the Sec. 734.9(e) (Entity List FDP rule) introductory text to
reference this new FN5 FDP and to also revise the paragraph heading, so
it references Entity List FDP rules to reflect that there is more than
one Entity List FDP rule described under paragraph (e).
Although those controls have been effective, BIS has found that PRC
entities of concern have continued purchasing SME items produced
outside the United States that include SME items produced with the use
of U.S. technology, software, or tools, as well as SME items using
components, such as ICs, necessary for the function of the SME items
and also produced with the use of U.S. technology, software, or tools.
U.S. technology, software, and tools therefore remain key to the
production or function of the SME items being purchased by PRC entities
of concern.
Based on these findings, this IFR implements the SME FDP and FN5
FDP, which will impose additional controls on certain SME items--which
are used for the production of ``advanced-node ICs''--as described
further in section 2 below. Both FDPs will regulate the products of
tools, ``technology,'' and ``software'' that are made or developed
using U.S.-origin ``technology'' or ``software,'' or which contain a
foreign product made from tools that are a product of U.S.-origin
``technology'' or ``software.'' As with the controls previously imposed
by the October 7 IFR and the SME IFR, the purpose of the SME FDP and
FN5 FDP rules is to reduce the risk that U.S. technology will
contribute to the PRC's efforts to produce ``advanced-node ICs'' that
threaten U.S. national security and foreign policy interests. As
detailed below, the SME FDP and FN5 FDP rules simply recognize that
certain SME items--which are already subject to comprehensive
restrictions when destined for advanced fabrication facilities in
Country Group D:5 or Macau when they originate from the United States--
should also be subject to controls when destined for such entities when
produced abroad, if they are directly produced with U.S. ``technology''
or otherwise contain a critical component that could not have been
produced without U.S. ``technology.''
2. Scope of FN5 FDP
Specifically, this interim final rule implements a new FDP rule for
entities on the Entity List designated with FN5 FDP. The entities added
to the Entity List with a Footnote 5 designation are being added
because of specific national security or foreign policy concerns
described in the Entity List rule, such as their involvement in
supporting the PRC's military modernization through
[[Page 96794]]
their potential to produce ``advanced-node ICs,'' including for
military end uses.
Because of the critical national security implications of these
technologies and the need to enhance the effectiveness of the Entity
List designations to address foreign availability concerns, this rule
implements the FN5 FDP to make certain foreign-produced items subject
to the EAR, and requires a license if the exporter, reexporter, or
transferor has ``knowledge'' (which includes reason to know) that (i)
such foreign-produced commodities will be incorporated in any ``part,''
``component,'' or ``equipment'' produced, purchased, or ordered by an
entity with a Footnote 5 designation; or (ii) any entity with a
Footnote 5 designation is a party to any transaction involving such
foreign-produced commodities.
The foreign-produced commodities subject to the FN5 FDP rule are
commodities most relevant to semiconductor production and related
activities and thus covers foreign-produced commodities meeting the
descriptions in 3B001 (except 3B001.a.4, c, d, f.1, f.5, g, h, k to n,
p.2, p.4, r), 3B002 (except 3B002.c), 3B903, 3B991 (except 3B991.b.2.a
through 3B991.b.2.b), 3B992, 3B993, or 3B994. The commodities covered
include certain ``equipment'' as well as certain ``specially designed''
``parts,'' ``components,'' and ``accessories,'' as described within the
scope of each ECCN. If a foreign-produced commodity does not meet any
of the descriptions in those Category 3 ECCNs, then the FN5 FDP does
not apply.
The foreign-produced commodities described in the Category 3 ECCNs
described above meet the product scope prong of the FN5 FDP rule if
they are: (i) a ``direct product'' of ``technology'' or ``software''
subject to the EAR and specified in ECCN 3D001 (for 3B commodities),
3D901, 3D991 (for 3B991 and 3B992), 3D993, 3D994, 3E001 (for 3B
commodities), 3E901 (for 3B903), 3E991 (for 3B991 and 3B992), 3E993, or
3E994 (see Sec. 734.9(e)(3)(i)(A)); (ii) produced by a complete plant
or `major component' of a plant (located outside the United States)
when the complete plant or `major component,' whether made in the
United States or a foreign country, is itself a ``direct product'' of
U.S.-origin ``technology'' or ``software'' specified in ECCN 3D001 (for
3B commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992, 3D993,
3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991
and 3B992), 3E992, 3E993, or 3E994 (see Sec. 734.9(e)(3)(i)(B)(1)); or
(iii) contain an commodity that is produced by a complete plant or
`major component' of a plant (located outside the United States) when
the complete plant or `major component,' whether made in the United
States or a foreign country, is itself a ``direct product'' of U.S.-
origin ``technology'' or ``software'' specified in ECCN 3D001 (for 3B
commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992, 3D993, 3D994,
3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991 and
3B992)), 3E992, 3E993, or 3E994 (see Sec. 734.9(e)(3)(i)(B)(2)).
With respect to Sec. 734.9(e)(3)(i)(B)(2), this IFR adds Note 3 to
provide additional guidance on its product scope. Note 3 clarifies that
if a foreign-produced item contains an IC, and that IC was produced
with the use of a tool that itself was a ``direct product'' of U.S.-
origin technology or software specified in the ECCNs in Sec.
734.9(e)(3)(i)(B)(2), then the product scope would be met. In line with
BIS's application of its FDP rules concerning integrated circuits, the
note also specifies that the production of an integrated circuit
includes fabrication of the integrated circuit in a wafer, as well as
assembly, testing, and packaging of the integrated circuit.
In addition to the note, BIS is also adding a Red Flag to assist
parties in determining whether their products fall within Sec.
734.9(e)(3)(i)(B)(2). Specifically, based in part on its assessment of
supply chain data, BIS has found that there is a significant prevalence
of certain types of U.S.-origin tools (or foreign-produced tools that
are subject to the EAR) in fabrication facilities for the production of
integrated circuits. It can thus be presumed that any integrated
circuit has been produced using at least one U.S. tool qualifying as a
`major component' under Sec. 734.9(e)(3)(i)(B) of the FN5 FDP.
Therefore, as explained in greater detail below, this IFR adds Red Flag
26 to supplement no. 3 to part 732 stating that there is a Red Flag
that the product scope of the FN5 FDP is met if the foreign-produced
item contains at least one integrated circuit.
Importantly, as described above, the scope of the FN5 FDP is
calibrated in multiple places to ensure the rule captures those
transactions of national security and foreign policy concern. First,
the FN5 FDP captures only the products of the U.S. tools, technology,
and software that are relevant to the production of items (e.g.,
``advanced-node ICs'') of national security and foreign policy concern
by, for, or involving specific parties, who are identified on the
Entity List as involved in relevant activities of concern. The FN5 FDP
does not generally apply to the destinations identified in new
supplement no. 4 to part 742. U.S. national security and foreign policy
interests inform this approach. Governments in those destinations are
members of multilateral export control regimes (e.g., the Wassenaar
Arrangement on Export Controls for Conventional Arms and Dual-use Goods
and Technologies) and have the authority to control key SME items of
concern. Lastly, this IFR provides varying compliance dates to provide
parties time to comport with the new controls where possible consistent
with U.S. national security and foreign policy concerns. Parties need
not apply for a license, for example, until the compliance date or the
effective date, whichever is later. In so doing, this IFR calibrates
the pertinent restrictions to provide notice of this IFR's provisions
as well as time for parties to ensure they can properly classify their
items and otherwise comply with this IFR's new requirements.
3. Scope of SME FDP Rule
Under the SME FDP rule, specified foreign-produced commodities of
national security concern meet the destination scope of SME FDP if
there is ``knowledge'' that the foreign-produced commodity is destined
to Macau or a destination in Country Group D:5 of supplement no. 1 to
part 740 of the EAR.
The product scope of the SME FDP applies to: (i) a foreign-produced
commodity specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r,
or 3B002.c that is the ``direct product'' of ``technology'' or
``software'' subject to the EAR and specified in 3D992 or 3E992 of the
CCL; (ii) a foreign-produced commodity that is produced by any complete
plant or `major component' of a plant that is located outside the
United States, when the plant or `major component' of a plant, whether
made in the United States or a foreign country, itself is a ``direct
product'' of U.S.-origin ``technology'' or ``software'' that is
specified in ECCN 3D001 (for 3B commodities), 3D901, 3D991 (for 3B991
and 3B992), 3D992, 3D993, 3D994, 3E001 (for 3B commodities), 3E901 (for
3B903), 3E991 (for 3B991 or 3B992), 3E992, 3E993, or 3E994 of the CCL;
or (iii) contains a commodity produced by any complete plant or `major
component' of a plant that is located outside the United States, when
the complete plant or `major component' of a plant, whether made in the
U.S. or a foreign country, itself is a ``direct product'' of U.S.-
origin ``technology'' or ``software'' that is specified in ECCN
[[Page 96795]]
3D001 (for 3B commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992,
3D993, 3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for
3B991 or 3B992), 3E992, 3E993, or 3E994 of the CCL. For example, the
product scope of paragraph (k)(1) is met if a foreign-produced
commodity contains an integrated circuit that is produced by a complete
plant or `major component' of a plant that itself is a ``direct
product'' of U.S.-origin ``technology'' or ``software'' specified in
the ECCNs described in paragraph (k)(1)(ii)(B).
The license requirements and exclusions to the license requirements
associated with this SME FDP rule are found in Sec. Sec. 742.4(a)(4)
and 742.6(a)(6) of the EAR. See Sec. Sec. 742.4(b)(2) and 742.6(b)(10)
for license review policy applicable to foreign-produced items that are
subject to the EAR under this SME FDP rule. These license review
policies are each clarified by this IFR by adding ``however if Sec.
744.23 does not apply'' to make clear when the case-by-case license
review policy applies.
4. License Requirements and License Review Policy for Commodities
Subject to the EAR Pursuant to the FN5 FDP or SME FDP Rules (FDPR)
i. FN5 FDPR as Well as License Requirements in Sec. 744.11(a)(2)(v)
This IFR adds Sec. 744.11(a)(2)(v) to impose a license requirement
for entities listed on the Entity List (supplement no. 4 to part 744)
that are designated with new Footnote 5 (FN5 entities). This IFR adds
Sec. 744.11(a)(2)(v)(A) (License requirement) to specify that a
license is required for foreign-produced commodities to or within any
destination or to any end user or party, subject to the EAR pursuant to
Sec. Sec. 734.4(a)(9) or 734.9(e)(3), if any of the license
requirements under Sec. 744.11(a)(2)(v)(A)(1) through (A)(4) applies.
See Section B.1 regarding Sec. 734.4 provisions.
This IFR adds Sec. 744.11(a)(2)(v)(A)(1) for exports from abroad
or reexports from all countries. Specifically, a license is required
for commodities specified in ECCN 3B993 when exported from abroad or
reexported by an entity whose ultimate parent company is headquartered
in either Macau or a destination specified in Country Group D:5.
This IFR adds Sec. 744.11(a)(2)(v)(A)(2) for exports from abroad
or reexports from countries in Country Group A:5 that are not in
supplement no. 4 to part 742. Specifically, a license is required for
commodities specified in ECCN 3B993 if the commodity is not subject to
equivalent controls by the relevant country.
This IFR adds Sec. 744.11(a)(2)(v)(A)(3) for exports from abroad
or reexports from all countries not listed in Country Group A:5. These
license requirements apply under two scenarios. First, under Sec.
744.11(a)(2)(v)(A)(3)(i), a license is required for commodities
specified in ECCN 3B001 (except 3B001.a.4, c, d, f.1, f.5, k to n, p.2,
p.4, r), 3B002 (except 3B002.c), 3B611, 3B903, 3B991 (except
3B991.b.2.a through 3B991.b.2.b), 3B992, 3B993, or 3B994 to be exported
from abroad or reexported by an entity that is headquartered or whose
ultimate parent company is headquartered in a country not specified in
supplement no. 4 to part 742. Second, under Sec.
744.11(a)(2)(v)(A)(3)(ii), a license is required for commodities
specified in ECCN 3B993 to be exported from abroad or reexported by an
entity headquartered in or whose ultimate parent company is
headquartered in a country described in supplement no. 4 to part 742.
This IFR adds Sec. 744.11(a)(2)(v)(A)(4) for transfers (in-
country) within the country of the entity specified by Sec.
734.9(e)(3)(ii). These license requirements apply under two scenarios.
First, under Sec. 744.11(a)(2)(v)(A)(4)(i), a license is required for
transfers by an entity whose ultimate parent company is headquartered
in a country not described in supplement no. 4 to part 742 and the
entity is transferring a commodity specified in ECCN 3B001 (except
3B001.a.4, c, d, f.1, f.5, g, h, k to n, p.2, p.4, or r), 3B002 (except
3B002.c), 3B611, 3B903, 3B991 (except 3B991.b.2.a through 3B991.b.2.b),
3B992, 3B993, or 3B994. Second, under Sec. 744.11(a)(2)(v)(A)(4)(ii),
a license is required for transfers by an entity whose ultimate parent
company is headquartered in a country described in supplement no. 4 to
part 742 and the entity is transferring a commodity specified in ECCN
3B993. With respect to the scope of the license requirements under
Sec. 744.11(a)(2)(v)(A)(4), note that under the definition of transfer
(in-country) in Sec. 734.16, an in-country transfer is ``a change in
end use or end user of an item within the same foreign country.'' Thus,
if a tool has already been exported to a warehouse and will later be
moved to and installed in a production facility that was the intended
end user at the time of the export, the movement from the warehouse to
the production facility within the same country is not an in-country
transfer and would not be subject to the license requirements under
Sec. 744.11(a)(2)(v)(A)(4).
ii. New SME FDP as Well as Amendments to the National Security and
Regional Stability Controls
The license requirements for the new SME FDP in Sec. 734.9(k) are
in Sec. Sec. 742.4(a)(4) and 742.6(a)(6)(i) (national security (NS)
and regional stability (RS) controls, respectively), which correspond
to the reasons for control for the commodities that may be subject to
the EAR under the SME FDP. The license requirements are identical in
Sec. Sec. 742.4(a)(4) and 742.6(a)(6)(i). Changes to each of those
provisions is discussed below.
This rule updates the NS controls in Sec. 742.4(a)(4) to designate
the regulations at Sec. 742.4(a)(4)(i) as the scope of the license
requirement and Sec. 742.4(a)(4)(ii) for exclusions to the license
requirement. Under Sec. 742.4(a)(4)(i), the current license
requirement remains for exports, reexports, or transfers (in-country)
to or within either Macau or a destination specified in Country Group
D:5 of items specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4,
r, 3B002.c and related ``software'' and ``technology.''
Similarly, for RS controls, this rule also updates Sec.
742.6(a)(6)(i) to describe the scope of license requirements in Sec.
742.6(a)(6)(i)(A)(1) and exclusions from the license requirement in
Sec. 742.6(a)(6)(i)(A)(2). Under Sec. 742.6(a)(6)(i)(A)(1), the
current license requirement remains for exports, reexports, or
transfers (in-country) to or within Macau or a destination specified in
Country Group D:5.
The NS and RS sections also have identical exclusion paragraphs.
The existing exclusion from license requirements for deemed exports or
deemed reexports for both sets of controls is moved to new Sec. Sec.
742.4(a)(4)(ii) and 742.6(a)(6)(i)(A)(2), respectively. Under those
provisions, commodities subject to the EAR under Sec. Sec. 734.4(a)(8)
or the SME FDP do not require a license if the commodity is reexported
or exported from abroad by an entity located in a country specified in
supplement no. 4 to part 742, and the entity is not headquartered or
have an ultimate parent company headquartered in Macau or a destination
specified in Country Group D:5. Also, subject to the EAR under
Sec. Sec. 734.4(a)(8) or the SME FDP do not require a license if the
commodity is reexported or exported from abroad by an entity located in
a country that has implemented equivalent controls for items specified
in paragraph (a)(4)(i) of this section, and the entity is not
headquartered or have an ultimate parent company
[[Page 96796]]
headquartered in Macau or a destination specified in Country Group D:5.
For license requirements for in-country transfers, note that under
the definition of transfer (in-country) in Sec. 734.16, an in-country
transfer is ``a change in end use or end user of an item within the
same foreign country.'' Thus, if a tool has already been exported to a
warehouse and will later be moved to and installed in a production
facility that was the intended end user at the time of the export, the
movement from the warehouse to the production facility within the same
country is not an in-country transfer and would not be subject to the
license requirements in Sec. Sec. 742.4(a)(4)(i) and
742.6(a)(6)(i)(A)(1).
iii. License Review Policy
Lastly, this IFR adds Sec. 744.11(a)(2)(v)(B) (License review
policy) to specify the license review policy for each entity with a
Footnote 5 designation in the Entity List in supplement no. 4 to part
744. Unless specified otherwise in the license review policy column of
the applicable entity with a Footnote 5 designation, there is a case-
by-case license review policy for items subject to the license
requirements of this section where there is a foreign-made item that is
not subject to the license requirements of this section and performs
the same function as an item subject to the EAR license requirements of
this section.
B. Additional Revisions Related to the Production of Semiconductors and
Other Conforming Changes
1. Addition of New De Minimis Provisions Corresponding to the FN5 and
SME FDP Rules and a Conforming Change
To address the national security and foreign policy concerns
described above regarding the production of ``advanced-node ICs,'' this
interim final rule adds new de minimis provisions in Sec. Sec.
734.4(a)(8) and 734.4(a)(9) of the EAR. Section 734.4(a)(8) specifies
that there is no de minimis threshold level of U.S. controlled content
for commodities specified in ECCNs 3B001.a.4, c, d, f.1, f.5, k to n,
p.2, p.4, r, or 3B002.c when the commodity is incorporated in a U.S.-
origin IC or contains a U.S.-origin IC specified under Category 3, 4,
or 5 of the CCL, and the commodity is destined for Macau or a
destination specified in Country Group D:5, unless excluded from the
national security license requirement in Sec. 742.4(a)(4) or the
regional stability license requirement in Sec. 742.6(a)(6) of the EAR.
Section 734.4(a)(9) specifies that there is no de minimis level for
an item meeting the parameters in ECCNs specified in Category 3B
(except 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, or 3B002.c) of
the CCL in supplement no. 1 to part 774 of the EAR, when the commodity
is incorporated in a U.S.-origin integrated circuit or contains a U.S.-
origin integrated circuit specified under Category 3, 4, or 5 of the
CCL, and the commodity is destined for an entity with a Footnote 5
designation in the license requirement column of the Entity List in
supplement no. 4 to part 744 of the EAR.
These new provisions ensure that foreign-produced SME containing
U.S.-origin ICs (or other components) are controlled to the same extent
as foreign-produced SME containing items controlled by the SME FDP rule
and the FN5 FDP rule.
This IFR makes a conforming change to Sec. 734.4(a)(3) by
replacing ECCN 3B001.f.1.b.2.b with 3B993.f.1 because this is the new
location of the control.
2. Addition of New License Exception Restricted Fabrication Facility
(RFF)
The new License Exception RFF will allow certain items, including
specified SME, to be exported, reexported, exported from abroad, or
transferred (in-country) to certain fabrication facilities that are
subject to end user-based license requirements but that are not
currently producing ``advanced node ICs.'' This license exception is
available for these fabrication facilities to obtain legacy equipment
and related items to produce non-``advanced node ICs'' through a
framework that establishes guardrails and monitoring to address U.S.
national security concerns. This includes terms and conditions on the
use of License Exception RFF, such as excluding from eligibility items
essential for producing ``advanced-node ICs,'' as well as requiring
pre-shipment notifications, end-use monitoring, and annual reporting.
The license exception also has restrictions related to the operation,
installation, maintenance, repair, overhaul, or refurbishing of items
ineligible under RFF that may already be part of the entity's installed
base. BIS believes that these restrictions, along with existing
countrywide license requirements for SME and related items that cannot
be overcome by RFF, will further U.S. national security and foreign
policy objectives. Under the concurrently published EL rule, one entity
is eligible for this license exception.
License Exception RFF, found in new Sec. 740.26, only overcomes
license requirements in the license requirements column of a specific
entity when Sec. 740.26 is referenced in that Entity List entry. This
license exception does not overcome destination-based license
requirements, end-use based license requirements in other sections of
part 744, or license requirements that apply to other entities on the
Entity List if other listed entities are a party to the transaction.
License Exception RFF authorizes the export, reexport, export from
abroad, and transfer (in-country) of items not specified in ECCN 3B001,
3B002, 3B993, 3B994, 3D992, 3D993, 3D994, 3E992, 3E993, or 3E994. For
commodities exported, reexported, or transferred prior to December 5,
2024. License Exception RFF may not be used for the operation,
installation, maintenance, repair, overhaul, or refurbishing of
commodities specified in ECCN 3B001.a.4, c, d, f.1, f.5, k to n, p.2,
p.4, r, or 3B002.c, or 3B993, or 3B994. Also, any item exported,
exported from abroad, reexported, or transferred under License
Exception RFF may not be used to produce ``advanced-node ICs.'' There
is also a notification that must be sent to BIS 45 days prior to
exporting, reexporting, exporting from abroad, or transferring (in-
country) that includes the end-user's name and address, description of
item(s), purchase price, and anticipated shipping date of export,
reexport, or transfer (in-country). These changes are expected to
result in an increase of 306 prior notifications under Sec.
740.26(d)(1) to BIS under License Exception RFF.
In addition, a notification must be sent to BIS within one business
day of gaining ``knowledge'' that the end use has changed to ``advance-
node IC'' ``production.'' These changes are expected to not result in
an annual increase of ``one-business day'' notifications under Sec.
740.26(d)(2) to BIS under License Exception RFF or a minimal number of
one business day notifications, provided the other terms and conditions
of License Exception RFF are being followed.
There is also a reporting requirement for installation of SME that
must be sent to BIS within 30 days of installation. These changes are
expected to result in an annual increase of 306 installation reports
under Sec. 740.26(e)(1) to BIS under License Exception RFF.
In addition, there is an annual end-use confirmation report that
must be sent to BIS by the exporter, reexporter, or transferor that
confirms that the installed equipment is not being used in the
productions of ``advanced-node ICs.'' These changes are expected to
result in an annual increase of 102 installation reports under Sec.
740.26(e)(2) to BIS under License Exception RFF.
[[Page 96797]]
As a conforming change for the addition of License Exception RFF,
in Sec. 762.2 (Records to be retained) this IFR revises paragraph (b)
(Records retention references) to add a new paragraph (b)(57) to
reference Sec. 740.26, License Exception RFF as a new records
retention reference. This change is a conforming change with the
addition of License Exception RFF and the new notification and
reporting requirements under Sec. 740.26(d) and (e), respectively,
which are considered ``export control records'' for purposes of the EAR
and must be kept in accordance with the requirements of part 762 of the
EAR.
3. Addition of Eight New Red Flags To Assist With Compliance
In supplement no. 3 to part 732 (BIS's ``Know Your Customer''
Guidance and Red Flags), this IFR adds eight new Red Flags under
paragraphs (b)(20) through (27) that are intended to provide additional
compliance guidance to assist exporters, reexporters, and transferors
as part of their compliance programs. These eight new Red Flags are in
addition to the five Red Flags that were added by the AC/S IFR. As
specified in the ``Know Your Customer'' Guidance and Red Flags, various
requirements of the EAR are dependent upon a person's knowledge of the
end use, end user, ultimate destination, or other facts relating to a
transaction or activity. BIS provides the ``Know Your Customer''
Guidance and Red Flags to advise how individuals and firms should act
under this knowledge standard.
New Red Flag 20 identifies a scenario where a non-advanced
fabrication facility orders equipment designed for ``advanced-node IC''
production (e.g., Sec. 742.4(a)(4) ECCNs). This scenario raises a Red
Flag because this technology mismatch indicates the fabrication
facility produces or intends to produce ``advanced-node ICs.'' The
exporter, reexporter, or transferor must resolve this Red Flag before
proceeding with the transaction.
New Red Flag 21 identifies a scenario where an exporter,
reexporter, or transferor receives an order for which the ultimate
owner or user of the items is uncertain, such as a request to ship
equipment for the ``development'' or ``production'' of ICs to a
distributor without a manufacturing operation, when the item is
ordinarily customized for the end user or installed by the supplier.
Under this scenario the distributor would never be the end user of such
equipment, which means that the ultimate owner or beneficiary is
unknown to the exporter, reexporter, or transferor. This uncertainty
raises a Red Flag that needs to be resolved before the exporter,
reexporter, or transferor proceeds with the transaction. New Red Flag
21 specifies this uncertainty requires the need for due diligence
particularly for items where such information would typically be known
to an exporter, reexporter, or transferor, such as for advanced
computing items, supercomputers, or SME.
New Red Flag 22 identifies a scenario where an order or request
related to an item that would require an export, reexport, or in-
country transfer license from BIS or another jurisdiction (i.e., from
another foreign government) that maintains controls on the item and the
exporter, reexporter, or transferor has uncertainty about the license
history for the item--meaning the exporter, reexporter, or transferor
is not sure whether the export, reexport, or transfer (in-country) was
properly authorized in accordance with the EAR and/or by the respective
foreign government. New Red Flag 22 provides an example where there is
information known to the exporter, reexporter, or transferor indicating
that a license was not, or was not likely to have been, obtained by the
end user, such as where the end user or end use, or the ECCN and end-
user destination triggers a license review policy of a presumption of
denial. New Red Flag 22 specifies that these uncertainties raise a Red
Flag that needs to be resolved before the exporter, reexporter, or
transferor proceeds with further transactions related to the item to
avoid the risk of violating Sec. 764.2(e), which includes acting on
requests to service, install, upgrade, or otherwise support the item of
concern.
New Red Flag 23 identifies a scenario where an exporter,
reexporter, or transferor receives a request to service, install,
upgrade, or otherwise maintain an item that was altered after export by
a third-party for a more advanced end use that would normally require a
license for the destination. New Red Flag 23 specifies that this
scenario would raise a Red Flag that the item is employed in a
prohibited end use that would need to be resolved before proceeding
further with the transaction.
New Red Flag 24 identifies another scenario related to a request
for an item or service from a new customer whose senior management or
technical leadership (e.g., process engineers that are team leaders or
otherwise leading development or production activities) overlaps with
an entity on the Entity List, particularly if the supplier previously
provided the same or substantially similar item or service to the
Entity List entity, most likely prior to the listed entity being added
to the Entity List. New Red Flag 24 specifies that this scenario would
raise a Red Flag that the entity requesting the item or service is
engaged in or supporting the same prohibited end use as the Entity List
entity. The exporter, reexporter, or transferor would need to conduct
additional due diligence before proceeding with the transaction with
the new customer.
New Red Flag 25 identifies another scenario related to servicing a
request from a new customer for an item or service that was designed or
modified for an existing or former customer that is now designated on
the Entity List. New Red Flag 25 specifies that this scenario would
raise a Red Flag that the new customer has assumed the operations for
which the item or service is still needed to engage in or support the
same prohibited end use for which the Entity List entity was listed.
This Red Flag would need to be resolved by the exporter, reexporter, or
transferor before proceeding.
New Red Flag 26 provides additional guidance that will assist
exporters, reexporters, and transferors in complying with the two new
FDP rules added to the EAR in this IFR. See section III.A of this
preamble for a description of the two new FDP rules related to the
production of ``advanced-node ICs'' implemented by this IFR. Red flag
26 specifies that when analyzing the scope of the Entity List FDP rules
for Footnote 5 entities, if a foreign-produced item is described in the
relevant Category 3B ECCN in Sec. Sec. 734.9(e)(3)(i) or 734.9(k)(1)
and contains at least one IC, then there is a Red Flag that the
foreign-produced item meets the product scope of the applicable FDP
rule and the exporter, reexporter, or transferor must resolve the Red
Flag before proceeding. Key components, including ICs, are key to the
functioning of SME, and such components are dependent on U.S.
technology and software as well as on tools derived from U.S.
technology and software. BIS has concluded, based on assessments of
supply chain data, that there is a significant prevalence of certain
types of U.S.-origin tools (or foreign-produced tools that are the
direct product of U.S.-origin technology or software) in fabrication
facilities for the production of ICs. As with all Red Flags, exporters,
reexporters, and in-country transferors will need to conduct due
diligence before continuing with the transaction. Such additional
diligence is necessary in order to ensure that items produced with the
use of U.S. technology, software, or tools, including ICs that are
contained in the specified foreign-produced commodities being
[[Page 96798]]
controlled, are not sent to end users contrary to U.S. national
security and foreign policy interests.
New Red Flag 27 identifies a scenario where the end user is a
``facility'' that is physically connected to a ``facility'' where
``production'' of ``advanced-node ICs'' occurs. Each building is
considered a separate ``facility,'' but if multiple buildings are
connected in such a way that allows for the ``production'' of the
integrated circuits across the physically connected buildings, this
raises a Red Flag that ``production'' of ``advanced-node ICs'' occurs
in each one of the connected facilities. The Note to Number 27 states
that, for example, if an exporter, reexporter, or transferor receives
an equipment order from a company that is engaged in ``production'' of
non-``advanced-node ICs'' in one building, and this building is
physically connected to another building where the ``production'' of
``advanced-node ICs'' occurs, then both buildings would be subject to
the controls under Sec. 744.23 of the EAR, unless the exporter can
demonstrate that the destination facility is not engaged in the
``production'' of ``advanced-node ICs.'' The Note to Number 27 provides
that to resolve the red flag, the exporter should submit an advisory
opinion to BIS. Otherwise, the two buildings are treated as a single
``facility'' for purposes of license requirements under Sec. 744.23 of
the EAR.
4. Revisions to the Definition of ``Advanced-Node Integrated Circuit''
Related to DRAM
In Sec. 772.1 (Definitions of terms as used in the Export
Administration Regulations (EAR)), this IFR revises the definition of
``Advanced-Node Integrated Circuits (Advanced-Node IC)'' by revising
the criteria for how DRAM ICs is defined. In paragraph 3 of the
definition, this IFR removes the criterion using a ``production''
`technology node' of `18 nanometer half pitch or less' and adds in its
place two different criteria. When either of these two criteria are
met, the commodity would be considered a DRAM integrated circuit for
purposes of this definition. Specifically, this IFR specifies that DRAM
integrated circuits meet the definition of ``Advanced-Node Integrated
Circuits (Advanced-Node IC)'' when the integrated circuit either has a
memory cell area of less than 0.0019 square micrometers ([mu]m\2\); or
a memory density greater than 0.288 gigabits per square mm.
For additional context on the reason for this change, in parts 734
and 744 of the EAR, ``advanced-node ICs'' include logic, DRAM, and NAND
ICs that meet certain technical parameters. This IFR updates the
technical parameters defining advanced-node DRAM ICs. The previous
definition used half-pitch to characterize advanced-node DRAM ICs.
However, that definition allowed fabrication facilities to make
substantial improvements in memory density by using more compact memory
cell architectures, as well as by stacking DRAM in three dimensions,
without meeting the definition, thereby avoiding controls. Furthermore,
the previous definition did not capture HBM, which is crucial to
frontier AI training and inference and is typically co-packaged with
advanced logic chips for datacenter AI and supercomputing.
The definition in this IFR uses a memory density and memory cell
area standard that captures both advances in memory cell
miniaturization as well as HBM and other memory devices that stack
layers of DRAM vertically to achieve greater densities without reducing
half-pitch. A technical note also defines the cell area. The intent of
this change in the definition of advanced-node DRAM ICs is not to
change the current impact of the end-user controls, but to prevent
possible future workarounds, especially the production of high
bandwidth memory for advanced computing ICs. As a conforming change,
the reference to paragraph three is removed from Note 1 to the
definition.
This IFR also removes the Technical Note to the definition, as it
is no longer needed because of the other clarifying changes made to
this definition, in particular the addition of new Note 2 to the
definition of ``Advanced-Node Integrated Circuits.'' This IFR adds a
new Note 2 to the definition of ``Advanced-Node Integrated Circuits
(Advanced-Node IC)'' to specify that memory density is measured in
gigabytes (GB) of memory capacity of the package or stack, divided by
the footprint of the package or stack measured in square millimeters.
Note 2 also clarifies that in the case where a stack is contained in a
package, the area of the package should be used in the classification.
Finally, Note 2 specifies that cell area is defined as Wordline*Bitline
(which takes into consideration both transistor and capacitor
dimensions).
5. Clarifications to Section 744.23
(i) Revisions To Address Support for ``Development'' and ``Production''
of Advanced-Node ICs
This IFR adds new paragraph (a)(2)(iii) in Sec. 744.23 to clarify
that Sec. 744.23(a)(2) restricts the provision of Electronic Computer
Aided Design (ECAD) and Technology Computer Aided Design (TCAD)
``software'' and ``technology'' subject to the EAR when you ``know'' it
will be used in the design of ``advanced-node IC'' whose subsequent
``production'' will be in Macau or a destination in Country Group D:5.
This IFR also adds new paragraph (a)(2)(iv) in Sec. 744.23 to add
an ``advanced node IC'' exclusion to specify the license requirements
for items specified in paragraphs (a)(2)(i) and (ii) destined to
entities designated with a Footnote 5 are not subject to the license
requirements in this section. This IFR adds this exclusion because the
Entity List license requirements for these Footnote 5 entities will
already impose a license requirement for these items, so the additional
license requirement under Sec. 744.23(a)(2)(i) and (ii) is not needed
to protect U.S. national security and foreign policy interests for
these entities.
(ii) Revisions To Address Support for Indigenization of Semiconductor
Manufacturing Supply Chains
BIS continues to evaluate public comments received on the AC/S IFR,
the SME IFR, and the April 4 IFR. BIS intends to address those comments
in a future rulemaking. In the meantime, in this IFR, BIS is revising
Sec. 744.23(a)(4) to address several specific questions and concerns
about the application of Sec. 744.23(a)(4)(ii)(B), added in the April
4 IFR, to both the initial and intermediate ``development'' or
``production'' of Category 3B SME specified in Sec. 744.23(a)(4).
Specifically, BIS is revising Sec. 744.23(a)(4)(ii) to make clear that
the end-use control may apply to any item subject to the EAR and
specified on the CCL when: (1) the item (either in its original form or
as subsequently incorporated into a foreign-made item) is for the
``development'' or ``production'' of any foreign-made item specified in
the end-use control; and (2) the ``development'' or ``production'' is
by an entity headquartered in or whose ultimate parent is headquartered
in Macau or a destination specified in Country Group D:5. Because of
this clarification to paragraph (a)(4)(ii), paragraph (B) is no longer
needed, so this IFR removes paragraph (a)(4)(ii)(B) and redesignates
paragraph (a)(4)(ii)(C) as paragraph (B).
BIS is also adding the parenthetical phrase ``(and not excepted by
that paragraph)'' to paragraph (A) of Sec. 744.23(a)(4)(ii)(A) to
clarify that the exclusions (e.g., for masks) to the ECCNs listed in
Sec. 744.23(a)(4)(i) also apply in the controls under Sec.
744.23(a)(4)(ii).
[[Page 96799]]
Further, BIS is eliminating the qualification for `front-end
integrated circuit ``production'' equipment' in current Note 1 to Sec.
744.23(a)(4). BIS believes this approach will simplify compliance with
the end-use control by eliminating questions about whether an end-use
involves ``development'' or ``production'' of a `front-end' versus
`back-end' item, and it will reduce the risk that items subject to the
EAR are diverted from purported ``development'' or ``production'' of
back-end items to front-end items specified in the same ECCNs. As noted
in the SME IFR, BIS continues to welcome feedback from industry on
specific ECCNs that are not used in front-end production of ICs and
could be excluded from the ECCN scope of Sec. 744.23(a)(4).
BIS is also adding ECCN 3B903 to the list of qualifying ECCNs to
reflect updates to Category 3B under the IFR, ``Commerce Control List
Additions and Revisions; Implementation of Controls on Advanced
Technologies Consistent with Controls Implemented by International
Partners'' (89 FR 72926, September 6, 2024).
SME specified in ECCNs 3B903, 3B992, 3B993, and 3B994 are added to
the end-use license requirement in Sec. 744.23(a)(4)(i). Accordingly,
a license is now required to export, reexport, transfer (in-country)
any item subject to the EAR and specified on the CCL when destined to
or within either Macau or a destination specified in Country Group D:5
for the ``development'' or ``production'' of ``equipment,''
``components,'' ``assemblies,'' or ``accessories'' specified in ECCNs
3B903, 3B992, 3B993, and 3B994.
Additional changes to Sec. 744.23 are discussed in section III.C.2
of the preamble.
6. Conforming and Clarifying Revisions to General Prohibition Three
In Sec. 736.2 (General prohibitions and determination of
applicability), this IFR revises paragraph (b)(3)(i) (General
Prohibition Three--Foreign-direct product (FDP) rules), to clarify that
the prohibition extends to any foreign-produced items that are subject
to the EAR pursuant to Sec. 734.9 if such items are subject to a
license requirement in part 736, 742, 744, 746, or 764 of the EAR. The
existing Entity List FDP rules under Sec. 734.9(e)(1) and (2) already
extend to foreign-``direct products'' and certain other foreign-
produced items, and the new FN5 FDP will do the same. This revision to
Sec. 736.2(b)(3)(i) is a conforming and clarifying change.
7. Revision to General Order No. 4 Temporary General License
The Temporary General License (TGL) in supplement no. 1 to part
736, General Order no. 4, is amended by adding newly added SME ECCNs
(i.e., 3B001.c.4, 3B993.b.1, c.2, c.3, d.4, f.2, f.3, o.2, q.1, q.2,
3B994, 3D993.a (for commodities specified in paragraph (d)(1)(i)(B)),
3D993.b through .d, 3D994, 3E993.a (for commodities specified in
paragraph (d)(1)(i)(B)), 3E993.b, and 3E994) to this authorization in
paragraph (d)(1)(i). Paragraph (d)(2)(ii) is revised by adding 3A090.c
when destined to or within Macau or a destination in Country Group D:5
and cascading the paragraph into three separate paragraphs
(d)(2)(ii)(A)(1) through (3). See Section C.2 for more details
regarding the addition of 3A090.c (high bandwidth memory). In addition,
a new paragraph (d)(2)(ii)(B) is added to add an additional ultimate
end use for 3A090.c. This IFR also revises the paragraph (d)(3)
validity date to extend it as follows: (1) for SME items controlled
only for AT reasons, until December 31, 2026; (2) for SME items added
to the CCL in this rule (i.e., excluding items that were moved from
3B001 to 3B993, but not previously eligible for paragraph (d)(1)) until
December 31, 2026; (3) for items specified in paragraph (d)(2)(i)(A),
until December 2025; and (4) for HBM items specified in paragraph
(d)(2)(i)(B), until December 2026. In order to not disrupt IC supply
chains and from discussions with industry, BIS is extending the
validity period for AT only items for one additional year (December 31,
2026). BIS is setting the validity period for SME items added to the
CCL to one year (December 31, 2026). BIS is not extending the validity
deadline for advanced compute items because it is no longer needed
because of new licenses and new additions to the VEU for these
companies. The validity for the new HBM items is set at one year
(December 31, 2026) to allow for adjustment to the new controls.
8. Revisions to ``U.S. Persons'' Restrictions in Sec. 744.6
This IFR revises paragraph (c)(2)(iii) of Sec. 744.6 to
synchronize the product scope with the license requirement product
scope in Sec. Sec. 742.4(a)(4) and 742.6(a)(6) of the EAR.
9. Revisions to Sec. 770.2
This IFR revises Sec. 770.2 to make conforming changes to
paragraph (o)(2)(i) by adding ECCNs 3D992, 3D993, 3E992, and 3E993.
10. Conforming Changes to Foreign Direct Product Rules
This IFR adds ECCNs 3D901, 3D992, 3D993, 3D994, 3E901, 3E992,
3E993, and 3E994 to the following foreign direct product rules in Sec.
734.9: Entity List FDP: Footnote 1 (Sec. 734.9(e)(1)(i)(A) and (B)),
Entity List FDP: Footnote 4 (Sec. 734.9(e)(2)(i)(A) and (B)), Advanced
Computing FDP rule (Sec. 734.9(h)(1)(i)(A) introductory text,
(h)(1)(ii)(A)), Supercomputer FDP rule Sec. 734.9(i)(1)(i) and (ii)).
11. Conforming Changes to Section 742.4
This IFR corrects a paragraph designation in Sec. 742.4 under
paragraph (a)(5) to redesignate the second reference to paragraph
(a)(5)(ii)(B)(i) and (ii) as paragraphs (a)(5)(ii)(B)(1) and (2) as
intended. This IFR does not change the first reference to paragraph
(a)(5)(ii) in Sec. 742.4, which is correct.
C. Addition of High Bandwidth Memory (HBM) Controls
1. Addition of New 3A090.c
Control of advanced memory chips is key to national security
because of their military, intelligence, and surveillance applications.
In particular, advanced AI models rely on a type of advanced memory
called HBM, which is found in almost all advanced computing ICs
destined for advanced AI data centers. As the speed of advanced logic
increases, a similar increase in memory capacity and bandwidth is
required; otherwise, the full capabilities of the processor cannot be
realized. In advanced AI and supercomputing, advanced logic chips must
be paired with advanced memory to avoid this memory bottleneck. As
such, HBM is critical to both AI training and inference at scale and a
key component of advanced computing ICs.
Based on the importance of HBM described above, BIS is adding a new
ECCN control on HBM stacks with a specific memory bandwidth density to
ECCN 3A090.c. HBM units are optimized for very high memory bandwidth,
unlike general consumer-grade dynamic random access memory (DRAM)
chips, so this threshold will narrowly target controls at HBM. BIS uses
the bandwidth density--rather than just the bandwidth--to ensure
controls will still apply if an IC uses a larger quantity of smaller
HBM chips at little additional cost. As indigenous PRC advanced
computing ICs rely upon imported HBM, new ECCN 3A090.c implements
restrictions to slow PRC attempts to indigenize advanced AI chip
production, which, as explained above,
[[Page 96800]]
raises national security and foreign policy concerns.
Under ECCN 3A090, this IFR adds a new items paragraph .c to impose
a new CCL-based control for certain HBM commodities. Also, under the
advanced computing FDP rule in Sec. 734.9(h), foreign-produced 3A090.c
items will be subject to the EAR if they meet the scope of that FDP
rule. Under new 3A090.c, this IFR will control HBM having a `memory
bandwidth density' greater than 2 GB per second per square millimeter
(mm). All HBM stacks currently in production exceed this threshold.
This IFR also adds a technical note to 3A090.c to define for
purposes of this ECCN that `memory bandwidth density' is the memory
bandwidth of the package or stack measured in GB per second divided by
the area of the package or stack measured in square mm. The new
technical note to 3A090.c includes a sentence to clarify that where a
stack is contained in a package, the item should be classified using
the memory bandwidth of the packaged device and the area of the
package. The new technical note to 3A090.c also includes a sentence
highlighting that high bandwidth memory includes dynamic random access
memory integrated circuits, regardless of whether they conform to the
JEDEC standards for high bandwidth memory, provided they have a `memory
bandwidth density' greater than 2 GB per second per square mm. Lastly,
technical note to 3A090.c specifies that certain co-packaged integrated
circuits are excluded from the scope of 3A090.c, as this control does
not cover co-packaged integrated circuits with both HBM and logic,
where the dominant function of the co-packaged integrated circuit is
processing. The technical note further clarifies that this control does
include HBM permanently affixed to a logic integrated circuit designed
as a control interface and incorporating a physical layer (PHY)
function. Advanced computing ICs containing co-packaged logic and HBM
are not controlled by 3A090.c, though they may be controlled by other
ECCNs such as 3A090.a or 3A090.b depending on their Total Processing
Performance (TPP) and performance density.
This IFR imposes these new controls on HBM stacks under ECCN
3A090.c because these commodities are an important part of the
``production'' process for making advanced computing ICs, which prior
to this IFR were not being controlled under ECCN 3A090. In order to
more effectively address the national security and foreign policy
concerns which are being addressed under ECCN 3A090, BIS added a
control on HBM to prevent the PRC, as well as other destinations of
concern, from producing advanced computing ICs incorporating HBM.
If these HBM stacks are incorporated into an IC or a higher-level
commodity, such as a computer or electronic assembly, then ECCN
3A090.a, .b, 4A090.a or .b, or the respective .z controls may impose
controls on the commodities containing HBM. The national security and
foreign policy concerns are focused on HBM, as covered under 3A090.c,
that is exported as a stand-alone commodity (i.e., when not
incorporated into a higher-level commodity). When a 3A090.c commodity
is incorporated into another commodity, such as a 3A090.a, .b, or
another commodity, the EAR controls applicable to those other
commodities are sufficient to address the export control concerns with
these 3A090.c commodities.
This IFR also redesignates and revises Note 2 to 3A090 as new Note
1 to 3A090.a and 3A090.b, including adding references to 3A090.a and
3A090.b in the note and repositioning the note, so it appears
immediately after 3A090.a and .b. This IFR also redesignates Note 1 to
3A090 as Note 2 to 3A090 to reflect the reordering of the notes in ECCN
3A090.
2. Conforming Changes for Addition of 3A090.c
This IFR also makes the following eight conforming changes to other
parts of the EAR to address the addition of 3A090.c:
In supplement no. 1 to part 736--General Orders, this IFR revises
General Order No. 4 under paragraph (d)(2) (TGL--Advanced computing
items) to redesignate the introductory text of (d)(2)(ii) (End-use
scope), except for the heading that is added by this rule, as new
paragraph (d)(2)(ii)(A) (For all items under paragraph (d)(2)(i)). This
IFR also adds a new paragraph (d)(2)(ii)(B) (Additional permitted
ultimate end use for 3A090.c.) to clarify the application of the
ultimate end use requirement for 3A090.c commodities that are
authorized under the TGL. As described in new paragraph (d)(2)(ii)(A),
for other items, the TGL requires the ultimate end use of these other
items to be outside of destinations specified in Country Groups D:1,
D:4, or D:5 (and not specified in Country Groups A:5 or A:6) by
entities not headquartered in or whose ultimate parent company is not
headquartered in Macau or a destination specified in Country Group D:5
in all cases. The first sentence under new paragraph (d)(2)(ii)(B)
specifies that the ultimate end use of 3A090.c commodities allows for
use in any destination once the 3A090.c commodity is incorporated into
another commodity, provided that higher-level commodity is not a
commodity that is identified under paragraph (d)(2)(i) of this General
Order No. 4. This sentence is needed because 3A090.c in certain cases
may be incorporated into consumer electronics that would not otherwise
be of concern for advanced AI, so therefore the ultimate end-use
restriction is qualified with the addition of this sentence. The second
sentence under new paragraph (d)(2)(ii)(B) specifies that if the higher
level commodity is identified under paragraph (d)(2)(i), of this
General Order No. 4, then the ultimate end use of these items is
authorized under paragraph (d)(2)(ii) for destinations other than those
specified in Country Groups D:1, D:4, or D:5 (and not specified in
Country Groups A:5 or A:6) by entities not headquartered in or whose
ultimate parent company is not headquartered in Macau or a destination
specified in Country Group D:5. Lastly, the third sentence under new
paragraph (d)(2)(ii)(B) clarifies that any subsequent export, reexport,
or transfer (in-country) of a 3A090.c commodity (regardless of whether
it was incorporated into a higher-level commodity) would also need to
comply with any other applicable EAR license requirements that may be
applicable, such as those based on the classification (including, if
relevant, of the higher-level commodity) and the end use and parties to
the transaction.
This IFR revises the introductory text in Sec. 740.8 (Notified
Advanced Computing (NAC) and Advanced Computing Authorized (ACA)),
paragraph (a) (Eligibility requirements) to specify that ECCN 3A090.c
is not eligible for License Exception NAC or ACA. This exclusion is
made because of concerns that HBM classified under 3A090.c could be
diverted for incorporation into other items that would be of national
security and foreign policy concern for advanced AI model training
applications. However, if the HBM is incorporated into another
commodity, those concerns are sufficiently addressed through the export
controls imposed under the EAR for the higher-level commodity. Based on
the same rationale, this IFR does not extend the .z controls to items
that meet or exceed the controls parameters under 3A090.c, because if a
3A090.c item is incorporated into a higher-level commodity, the
classification and controls for the higher-level commodity
[[Page 96801]]
applies. Lastly, if a 3A090.c commodity were incorporated into a
commodity that is eligible for License Exception NAC or ACA, that
higher-level commodity (e.g., a 3A090.b commodity) would not be
precluded from being authorized under License Exception NAC or ACA. See
Sec. 770.2 (Item interpretations) under paragraph (b) (Interpretation
2: Classification of ``parts'' of machinery, equipment, or other
items). As an additional conforming change for this exclusion of
3A090.c from NAC and ACA, this IFR also revises the NAC/ACA paragraph
in the License Exceptions section of ECCN 3A090 to specify that NAC/ACA
is N/A for 3A090.c.
This IFR makes a third conforming change in Sec. 742.6 (Regional
stability). Paragraph (a)(6)(i) (Exports, reexports, transfers (in-
country) to or within Macau or Country Group D:5) is revised to
designate the text in paragraph (a)(6)(i) as paragraph (a)(6)(i)(A) and
add a new paragraph (a)(6)(i)(B) to set forth license requirements for
high bandwidth memory (HBM) items specified in ECCNs 3A090.c, 3D001
(for 3A090.c), and 3E001 (for 3A090.c) when exported, reexported, or
transferred (in-country) to or within Macau or a destination specified
in Country Group D:5 in supplement no. 1 to part 740 of the EAR.
This IFR also revises, as a conforming change, paragraph
(a)(6)(iii) (Exports, reexports, transfers (in-country) to or within
destinations specified in Country Groups D:1, D:4, and D:5, excluding
destinations also specified in Country Groups A:5 or A:6) to specify
that 3A090.c, 3D001 (for 3A090.c), and 3E001 (for 3A090.c) are excluded
from the license requirements in this paragraph. These conforming
changes are needed because the license requirements described in
paragraph (a)(6)(iii) that apply for 3A090 and for the related software
and technology controls for 3A090 will not apply for 3A090.c, which
will have narrower RS license requirements than the rest of 3A090, as
this IFR specifies in paragraph (a)(6)(i).
This IFR also revises paragraph (b)(10)(i) to update the reference
to newly designated paragraph (a)(6)(i)(A), in alignment with the
change made to that paragraph. This IFR redesignates paragraph
(b)(10)(ii) as paragraph (b)(10)(iii), and new paragraph (b)(10)(ii)
now sets forth the license review policy for new paragraph (a)(6)(i)(B)
(for HBM). There is a presumption of approval review policy for license
applications for items specified in paragraph (a)(6)(i)(B) to or within
Macau or a destination specified in Country Group D:5 in supplement no.
1 to part 740 of the EAR for entities neither headquartered in, nor
whose ultimate parent company is headquartered in, either Macau or a
destination specified in Country Group D:5. There is a presumption of
denial policy for all other license applications.
This IFR also revises former paragraph (b)(10)(ii) (License review
policy for paragraph (a)(6)(iii)) to cascade it into two new paragraphs
(b)(10)(iii)(A) for presumption of approval policy and paragraph
(b)(10)(iii)(B) for presumption of denial and case-by-case policy. The
presumption of approval policy in paragraph (b)(10)(iii)(A) and the
presumption of denial policy in paragraph (b)(10)(iii)(B) is unchanged
by this IFR. BIS has added a case-by-case license review policy for
paragraphs (b)(10)(iii)(B)(i) and (ii), which are those that would
qualify for License Exception NAC in Sec. 740.8 of the EAR.
This IFR makes a fourth and fifth conforming change in Sec. 744.23
(``Supercomputer,'' ``advanced-node ICs,'' and SME end use controls).
This IFR makes a conforming change to paragraph (a)(3)(i) to account
for the RS license requirement for 3A090.c items destined to Macau or
destinations specified in Country Group D:5, requiring a different
destination scope for the end-use control under Sec. 744.23(a)(3)(i)
compared to the other items referenced in this paragraph. This IFR
addresses this needed end-use control conforming change by making the
following changes. In Sec. 744.23(a)(3)(i), this IFR adds a heading to
paragraph (i) (ECCNs 3A090, 4A090, and .z items destined to entities
headquartered in, or whose ultimate parent company is headquartered in,
either Macau or a destination specified in Country Group D:5 in certain
destinations) and removes the text from paragraph (i) and adds that
text to new paragraph (a)(3)(i)(A). BIS also amends that paragraph by
excluding 3A090.c from the scope of this end-use license requirement
and revising the parenthetical phrase at the end of the paragraph to
make that example easier to understand. In another conforming change
related to 3A090.c, this IFR adds a new paragraph (a)(3)(i)(B) to
impose an end-use license requirement for ECCN 3A090.c commodities when
there is ``knowledge'' these commodities are destined to any
destination other than Macau or those specified in Country Group D:5,
for an entity that is headquartered in, or whose ultimate parent
company is headquartered in, either Macau or a destination specified in
Country Group D:5. Lastly, this IFR adds a parenthetical phrase with an
application example under new paragraphs (a)(3)(ii) to provide a better
understanding of this provision.
In addition, paragraph (a)(3)(ii) introductory text and paragraph
(a)(ii)(D) of Sec. 744.23 are revised to add an exclusion for 3E001
technology for 3A090.c, because the intent of this end-use control for
certain 3E001 technology is focused, for example, on preventing a PRC
company located in PRC from sending its designs to a logic foundry in a
third country to manufacture 3A090 chips under 3A090.a or .b for the
PRC company. The license review policy for 3A090.c and related
``technology'' and ``software'' destined to Macau, destinations
specified in Country Group D:5, or any entity headquartered in, or with
an ultimate parent headquartered in, either Macau or a destination
specified in Country Group D:5 will be consistent with the current
policy for ECCN 3A090 pursuant to Sec. 744.23(d)(1) of the EAR.
Additional changes to Sec. 744.23 are discussed in section III.B.5 of
this preamble.
This IFR makes a sixth conforming change related to 3A090.c in
Sec. 758.6 (Destination control statement and other information
furnished to consignees). The last sentence of paragraph (a)(2) is
revised by adding .c to the reference to 3A090.a or .b, so it is clear
that, for tangible exports of ECCN 3A090 commodities, 3A090.c needs to
be identified on the commercial invoice, in the same way as 3A090.a or
.b needs to be identified on the commercial invoice.
As the seventh and eighth conforming changes related to 3A090.c,
this IFR revises two ECCNs in the Commerce Control List, 3D001 and
3E001, for the related software and technology controls for 3A090.c to
conform with the revisions to Sec. 742.6 for the RS controls that are
applicable to these related software and technology ECCNs.
Under ECCN 3D001, this IFR revises the RS Controls paragraph in the
License Requirements section to exclude software for 3A090.c from RS
license requirements under Sec. 742.6(a)(6)(iii), consistent with the
exclusion of 3A090.c and 3E001 technology for 3A090.c from the scope of
Sec. 742.6(a)(6)(iii). In addition, this IFR also adds an RS Controls
paragraph in the License Requirements section to reference Sec.
742.6(a)(6)(i), including adding 3A090.c to this RS license requirement
because software for 3A090.c is included in the scope of Sec.
742.6(a)(6)(i). Previously, this RS Controls paragraph that references
Sec. 742.6(a)(6)(i) was not included in the License Requirements
section. To conform with the RS license
[[Page 96802]]
requirements in Sec. 742.6(a)(6)(i), this IFR corrects that omission
by adding this intended RS Controls paragraph, along with including a
reference to new ECCN 3A090.c.
Under ECCN 3E001, this IFR revises the RS Controls paragraph in the
License Requirements section that references Sec. 742.6(a)(6)(iii), to
exclude 3A090.c from this RS license requirement because 3E001
technology for 3A090.c is excluded from the scope of Sec.
742.6(a)(6)(iii). In addition, this IFR adds a new RS Controls
paragraph in the License Requirements section of ECCN 3E001 for 3A090.c
to impose a RS license requirement under Sec. 742.6(a)(6)(i)(B) for
exports, reexports, and transfers to or within Macau or a destination
specified in Country Group D:5 of supplement no. 1 to part 740.
3. Addition of New License Exception HBM for 3A090.c
In part 740 (License Exceptions), this IFR adds a new License
Exception HBM under Sec. 740.25. This IFR adds License Exception HBM
to authorize certain exports, reexports, and transfers (in-country) for
some of the new HBM commodities that this IFR adds to ECCN 3A090.c.
License Exception HBM consists of paragraphs (a) through (e), which
specify the terms and conditions of this new license exception and
create a more efficient authorization for certain exports, reexports,
or transfers (in-country) of these new ECCN 3A090.c commodities that
are in U.S. national security and foreign policy interests. This
license exception authorizes exports, reexports, and transfers (in-
country) when both: (1) the export, reexport, or transfer (in-country)
is completed by and to packaging sites that, even if located within a
country of concern, are owned and operated by U.S. or allied
headquartered companies, alleviating the national security concerns
about those destinations; and (2) where the U.S. or allied
headquartered company carefully tracks the HBM being sent and returned
by the packaging site and resolves discrepancies or report them to BIS.
As a result, the exception helps ensure that national security and
foreign policy considerations are addressed without delaying the
ability of U.S. and allied headquartered companies to continue
operations that do not raise national security or foreign policy
concerns.
Specifically, this IFR adds paragraph (a) (Scope) to specify that
License Exception HBM authorizes the export, reexport, or transfer (in-
country) of items specified in ECCN 3A090.c on the CCL if all terms and
conditions within this section are met.
This IFR adds paragraph (b) (Exporter, reexporter, transferor) to
impose requirements on who may be an exporter, reexporter or transferor
under License Exception HBM. This IFR specifies under paragraph (b)
that the exporter, reexporter, or transferor must be headquartered in
the United States or a destination specified in Country Group A:5 of
supplement no. 1 to part 740, without an ultimate parent headquartered
in Macau or a destination specified in Country Group D:5 of supplement
no. 1 to part 740. No other exporter, reexporter, or transferor may use
License Exception HBM.
This IFR adds paragraph (c) (Conditions) to specify that exports,
reexports, or transfers (in-country) are authorized under this License
Exception HBM only when the 3A090.c item has a memory bandwidth density
less than 3.3 GB/s/mm[supcaret]2. Only HBM at less than this parameter
may be authorized under License Exception HBM. HBM at equal to or
greater than this parameter referenced under paragraph (c) are of
greater sensitivity, so those HBM of greater sensitivity are not
eligible for HBM to ensure U.S. national security and foreign policy
interests are protected. In order to export, reexport, or transfer (in-
country) such HBM, both of the conditions that are specified under
paragraphs (c)(1) and (c)(2) must be met.
New paragraph (c)(1) of License Exception HBM specifies that the
3A090.c items exported, reexported, or transferred to or within Macau
or a destination specified in Country Group D:5 must be directly
purchased by the designer of the co-packaged commodity. Because of the
greater export control concerns with shipments through distributors
related to diversion, this condition ensures that the exporter,
reexporter, or transferor must know who the designer of the co-packaged
commodity is and that the designer is the entity that the co-packaged
commodity is being exported, reexported, or transferred (in-country) to
under License Exception HBM.
New paragraph (c)(2) of License Exception HBM specifies that the
3A090.c items must be shipped directly to the packaging site, which is
included to prevent diversion. HBM are used at packaging sites, so this
condition is intended to further ensure that the HBM are being
exported, reexported, or transferred (in-country) directly to the end
user and not to other parties that would pose a greater risk of
diversion.
Paragraph (c)(2)(i) of License Exception HBM applies to 3A090.c
items that are destined for packaging at a U.S.- or Country Group A:5
or A:6-headquartered packaging site without an ultimate parent
headquartered in Macau or a destination specified in Country Group D:5
and meet the additional conditions this IFR adds under paragraph
(c)(2)(i)(A) and (B). New paragraph (c)(2)(i)(A) specifies that the
packaging site must confirm in writing to the producer of the chips
that the 3A090.c item was packaged and exported, reexported, or
transferred (in-country) to the specified designer of the co-packaged
commodity. The second sentence to paragraph (c)(2)(i)(A) specifies that
this confirmation is considered an ``export control document'' and is
subject to recordkeeping requirements in part 762. New paragraph
(c)(2)(i)(B) specifies that the co-packaged commodities must not exceed
the technical thresholds in ECCN 3A090, unless packaging the item is
permitted under the TGL specified in paragraph (d) of General Order No.
4 in of supplement no. 1 to part 736. This condition under paragraph
(c)(2)(i)(B) is excluded because there is greater export control
concern if a 3A090.c item is being incorporated into a 3A090.a or
3A090.b commodity, unless that activity is within the scope of the TGL.
Paragraph (c)(2)(ii) of License Exception HBM specifies that if the
3A090.c items are destined for packaging at any other packaging site,
then the co-packaged commodities must be sent back to the exporter,
reexporter, or transferor for export, reexport, or transfer (in-
country) to the purchaser and meet the additional conditions under new
paragraphs (c)(2)(ii)(A) and (B). New paragraph (c)(2)(ii)(A) specifies
that upon receipt of the co-packaged commodities, the exporter,
reexporter, or transferor must confirm the number of 3A090.c units
contained within the co-packaged chips received from the packaging site
matches the number of 3A090.c items exported, reexported, or
transferred (in-country) to the packaging site. Similar to the text
this IFR adds to paragraph (c)(2)(i)(A), this IFR adds a second
sentence to paragraph (c)(2)(ii)(A) to specify that this confirmation
is considered an ``export control document'' and is subject to
recordkeeping requirements in part 762. As with all export control
records, the IFR specifies under paragraph (c)(2)(ii)(A) that a copy of
this record must be provided to BIS upon request. Paragraph
(c)(2)(ii)(B) specifies that the co-packaged commodity must not exceed
the technical thresholds in ECCN 3A090.a or 3A090.b. This is necessary
because the logic die co-packaged with the HBM may still
[[Page 96803]]
exceed the TPP or performance density thresholds in 3A090.a or 3A090.b.
This IFR adds paragraph (d) (Restrictions) to specify certain
exports, reexports, or transfers (in-country) of 3A090.c items that are
not authorized under this License Exception HBM when specified under
paragraphs (d)(1), (2), or (3). New paragraph (d)(1) excludes exports,
reexports or transfers (in-country) to distributors. New paragraph
(d)(2) excludes exports, reexports, or transfers (in-country) to
intermediate consignees, unless hired by the packaging site for freight
forwarding or customs clearance. New paragraph (d)(3) excludes exports,
reexports, or transfers (in-country) to co-packaging at a ``facility''
located in Macau or a destination specified in Country Group D:5 where
``production'' of ``advanced-node ICs'' occurs. These three
restrictions specified under paragraphs (d)(1) through (3) are needed
to ensure the exports, reexports, and transfers (in-country) authorized
under License Exception HBM will not be diverted contrary to U.S.
national security and foreign policy interests.
This IFR adds paragraph (e) (Reporting requirement) to specify that
in the event that the exporter, reexporter, or transferor identifies a
discrepancy of greater than 1 percent between the number of 3A090.c
units exported, reexported, or transferred (in-country) to the
packaging site and the number of 3A090.c units contained within the co-
packaged commodities received from the packaging site under paragraph
(c)(2)(A) of this section, this generates a `Red Flag' that must be
resolved before exporting, reexporting, or transferring (in-country)
the co-packaged commodities to the designer of the co-packaged
commodities or engaging in any further exports, reexports, or transfers
(in-country) of 3A090.c items to the designer of the co-packaged
commodities or packaging site involved in the transaction that raised
the Red Flag. The IFR adds a second sentence to the introductory text
of paragraph (e) to specify that if the Red Flag cannot be resolved,
then within 60 days of identifying the discrepancy, the exporter,
reexporter, or transferor must report the information in new paragraph
(e)(1) to BIS on the unresolved Red Flag, following the requirements
under paragraph (e)(2).
New paragraph (e)(1) (Information required) specifies under
paragraphs (e)(1)(i) through (vi) the information that needs to be
reported to BIS. Specifically, paragraphs (e)(1)(i) through (e)(vi)
specifies that the following information must be reported to BIS: (i)
the date of shipment; (ii) the quantity shipped, and quantity returned;
(iii) the name of Consignee or designer of the co-packaged commodities;
(iv) the name and address of the packaging site; (v) the end use; and
(6) an explanation of measures already taken or planned to resolve the
Red Flag.
New paragraph (e)(2) (Submission requirements) specifies that
reports must be provided in electronic form. Paragraph (e)(2) specifies
that the recommended file formats for electronic submission include
spreadsheets, tabular text, or structured text. Paragraph (e)(2) allows
for submitters of such reports to request other reporting arrangements
with BIS to better reflect their business models. Lastly, paragraph
(e)(2) specifies that reports are to be sent electronically to BIS at
the email address: [email protected] with the email subject line
Attn: LE HBM Discrepancy Reports. These changes are expected to result
annually in an increase of 10 notifications to BIS under License
Exception HBM.
As a conforming change for the addition of License Exception HBM,
this IFR revises section 740.2(a)(9)(ii) to add the phrase ``HBM under
the provisions of Sec. 740.25'' to specify this is an additional EAR
license exception that may overcome the general restriction, even
though License Exception HBM is only available for ECCN 3A090.c. This
IFR also adds a new Note to paragraph (a)(9)(ii) to provide guidance on
why ECCN 3A090.c is included within the scope of this paragraph even
though the destination scope referenced in this paragraph is broader
than the destination scope of license requirements for 3A090.c. This
new Note specifies under the first sentence that ECCN 3A090.c requires
a license for exports, reexports, transfers (in-country) to or within
Macau or Country Group D:5 but is still included within the scope of
this paragraph because it generally shares the same EAR license
exception eligibility as other 3A090 commodities. The last sentence of
this note specifies that an export, reexport, or transfer (in-country)
of an ECCN 3A090.c commodity to a destination specified in Country
Groups D:1 or D:4 that is not specified in Country Group D:5, may be
made under the No License Required (NLR) designation, provided no part
744 or 746 license requirements are applicable.
As a conforming change for the addition of License Exception HBM,
in Sec. 762.2 (Records to be retained) this IFR revises paragraph (b)
(Records retention references) to add a new paragraph (b)(56) to
reference Sec. 740.25, License Exception HBM as a new record retention
reference. This change is a conforming change with the addition of
License Exception HBM and the new reporting requirement under Sec.
740.25(e), which is considered an ``export control record'' for
purposes of the EAR and must be kept in accordance with the
requirements of part 762 of the EAR.
D. Clarification to the EAR: Software Keys
This IFR also clarifies the export controls that apply to the
export, reexport, and transfer (in-country) of software keys that allow
access to the use of certain software and hardware. This IFR revises
existing Sec. 734.19 (Transfer of access information) by redesignating
the existing introductory text of the section as new paragraph (a) and
adding a new paragraph (b), including adding a new Note 2 to paragraph
(b), to describe the export control treatment of software license keys.
This clarification adds software keys, or software license keys, to
new paragraph (b) in Sec. 734.19(b). These keys allow the use of
specific ``software'' (e.g., to ``unlock'' the use of the software or
hardware) and software keys that allow the renewal of existing software
and hardware use licenses, thereby allowing the use of the ``software''
or hardware by the licensed end user.
Prior to this rule, the introductory text to Sec. 734.19 described
the transfer of ``access information'' only and did not otherwise
address the export control status of software license keys that allow
access but are not ``access information'' as defined in part 772 of the
EAR. In this IFR, BIS is clarifying the scope of Sec. 734.19 by adding
a new paragraph (b) to specify the EAR treatment of software keys. To
implement these changes, this IFR makes the following revisions:
To reflect the clarification of the intended scope of Sec. 734.19,
this IFR revises the heading of the section to read as ``Transfer of
access information and export, reexport, and transfer (in-country) of
software keys,'' so that it is clear that this section applies to both
transfer of access information now described under paragraph (a) and
the export, reexport, and transfer (in-country) of software keys
described under new paragraph (b) that this IFR adds to this section.
This IFR redesignates the existing text of Sec. 734.19 as new
paragraph (a). This IFR as a conforming change redesignates Note 1 to
Sec. 734.19 as new Note 1 to Sec. 734.19(a).
New paragraph (b) is added to specify that software keys, also
called software license keys, which allow users the ability to use
``software'' or hardware by
[[Page 96804]]
providing access to it, and software keys that renew existing
``software'' or hardware use licenses, are classified and controlled
under the same ECCNs on the CCL as the corresponding ``software'' or
hardware to which they provide access, or in the case of hardware, the
software key would be classified under the corresponding ECCN in the
software group (e.g., a software license key that allows the use of
hardware classified under ECCN 5A992 would be classified under ECCN
5D992). This clarification is needed to inform exporters, reexporters,
and transferors of the EAR's approach to software keys.
If authorization is required for the export, reexport, or transfer
(in-country) of the ``software'' or hardware, authorization is likewise
required for the software key. For example, if a license is required to
export ECCN 5D992 software to an entity listed on the Entity List (see
supplement no. 4 to part 744 of the EAR), a license is also required to
release the associated software key that allows the entity access to
that ``software.'' Importantly, this would apply even if the listed
entity already had previous access to the ``software'' in question but
needs a software key for current or future access to the ``software.''
Additionally, if authorization via an export license is obtained
for the export, reexport, or transfer (in-country) of the ``software''
or hardware, that license also authorizes the export, reexport, or
transfer (in-country) to the corresponding software license key,
consistent with the terms and conditions set forth in the license. The
license would continue to authorize access to the use of the
``software'' or hardware (not upgrades) until the license expires,
provided the license did not specifically exclude such a release of the
software license key, or require separate authorization for the
software key.
If no authorization was required for the initial export of the
``software'' or hardware and the associated software key, but a license
requirement is later imposed on the ``software'' or hardware (e.g., a
license requirement is imposed because the end user becomes listed on
the Entity List), then subsequent exports, reexports, or transfers (in-
country) of the ``software,'' hardware, and the software license key
are subject to the new license requirement. This clarifies the
application of paragraph (b) requirements when a change in the license
requirement occurs between the initial export, reexport, or transfer
(in-country) of the ``software'' or hardware, and the release of the
software license key to access it.
Note 2 to paragraph (b) is added in Sec. 734.19 to clarify that
paragraph (b) does not impact keys that unlock dormant functionality in
a controlled item. Note 2 to paragraph (b) addresses items with dormant
functionality that can be activated using a license key. This typically
occurs when an item is already activated and useable, but a customer
wants to purchase and add additional features. In some cases, those
additional features take an item from an uncontrolled to a controlled
state, or from a lower to a higher control (e.g., an AT-controlled item
becomes NS-controlled). BIS has existing policies on the way it treats
such a scenario that vary by category. The purpose of Note 2 to
paragraph (b) is to make it clear that this rule is not intended to
impact those existing policies.
As a conforming change, this IFR also revises the definition of
``access information'' in part 772 to specify that the definition of
``access information'' applies only to Sec. 734.19(a), and not to
Sec. 734.19(b).
Instructions for submission of comments, including comments that
contain business confidential information, are found in the ADDRESSES
section of this IFR. BIS is requesting comments on whether the
revisions promulgated in this IFR effectively describe the treatment of
software keys, that allow users the ability to use the ``software'' and
hardware, and software keys that renew existing ``software'' use
licenses. Additionally, whether BIS should address the export control
status of other types of keys and software access control mechanisms
under the EAR. BIS will also accept comments on the relationship
between the treatment of software keys as described in new Sec.
734.19(b) and the treatment of keys that activate new dormant
functionality in ``software'' or hardware that has already been
activated.
E. Revisions to the Commerce Control List
1. Revisions to 3B001
This rule revises 3B001 by adding ``oxygen'' to paragraph 3B001.a.2
to implement a revision of a WA agreement. Oxygen is added due to
recent developments in the epitaxial growth rate of gallium oxide in
metal organic chemical vapor deposition (MOCVD) systems. Double quotes
are added around the word ``production'' in Technical Note 1 to
paragraph 3B001.e to indicate it is a defined term in Sec. 772.1 of
the EAR.
This section discusses the amendments to ECCN 3B001 other than the
commodities moved to new ECCN 3B993, discussion of which is found in
Section D.4 of the rule. No changes were made to ECCN 3B001 paragraphs
.b, or .g through .n.
The ECCN 3B001 paragraphs amended and added in this rule are
controlled for NS and RS reasons, as identified in Sec. Sec.
742.4(a)(4) (NS) and 742.6(a)(6) (RS), which applies only to Macau and
destinations specified in Country Group D:5. The entire entry is also
controlled for anti-terrorism (AT) reasons and subject to an AT:1
license requirement. The License Requirement table is revised as needed
to identify these reasons for control.
3B001.a.4 is updated as follows. The list of epitaxy materials is
updated to only specify silicon and silicon germanium, but not carbon
doped silicon. Carbon doped silicon epitaxy is still included under
silicon epitaxy. The parameter specifying the chamber atmosphere has
been removed. The parameter specifying temperature is updated to
reflect that it refers to the chamber temperature, and the temperature
value is converted from degrees Celsius to Kelvin. These changes are
made to ensure that all systems capable of achieving similar epitaxial
performance are specified regardless of their architecture or
configuration. These changes are not intended to alter the original
scope of control as published in October 2023, and BIS welcomes
feedback on whether any fewer or additional systems are specified by
the updated control text.
3B001.c.3 controls the etch equipment used to package a chip
containing a through-silicon via (TSV) (e.g., a HBM chip). The
equipment specified by 3B001.c.3 performs a ``reveal etch,'' which
removes silicon from the backside of the wafer and ``reveals'' the vias
for subsequent packaging steps. To perform this process in high-volume
manufacturing and at a high yield, this equipment employs endpoint
detection to remove a highly precise thickness of material as well as
``process uniformity tuning,'' which is defined in the Technical Note
to 3B001.c.3 to refer to the ability to compensate for incoming wafer
thickness variation caused by the wafer grinding process.
3B001.c.4 controls etch equipment designed to create TSVs, which
are formed by first etching a high-aspect ratio hole. This control
specifies equipment designed for TSV etch with an aspect ratio of
greater than or equal to 10:1, which BIS believes describes the TSVs
used in advanced packaging applications, but not the TSVs used in
legacy processes. The control further specifies that the equipment
produces low non-uniformity (less than 2%) and
[[Page 96805]]
a high etch rate (greater than 7 microns per minute), which are
important to maintain the throughput and yield necessary for high-
volume manufacturing. BIS notes that 3B001.c.4 items are listed under
3B001 to reflect that they are subject to a destination-based license
requirement for destinations specified in Country Group D:5 or Macau.
If Sec. 744.23 does not apply, applications will be reviewed on a
case-by-case basis if no license would be required under any other
provision of part 744 of the EAR.
3B001.d.3 is updated to specify that the plasma process described
in d.3.b is a surface treatment.
3B001.d.4 and 3B001d.12 are updated to remove the specification
that the transfer chamber or wafer handling system must maintain high
vacuum (equal to or less than 0.01 Pascal (Pa)) or inert environment
between process steps. This change is made to ensure relevant systems
are specified based on their performance criteria (listed in the ECCN
sub-paragraphs), rather than transfer pressure, which may vary from
system to system.
3B001.d.5 is updated to change the specification of the carbon hard
mask to being more than 2 [mu]m thick and have a density greater than
1.7 grams per cubic centimeter (g/cm[supcaret]3).
3B001.d.11: The parameters in this paragraph do not control the
targeted SME, but 3B001.d.7, 3B001.d.8 and 3B001.10 do. Therefore, the
control text is removed, and the paragraph is reserved.
3B001.d.14 has been updated to control a narrower set of equipment
by specifying that the properties of the deposited film must have a
lower dielectric constant and be deposited in features with a smaller
lateral opening and smaller feature-to-feature pitch. As described
below, the new paragraph 3B993.d.1 is created to be largely similar to
the former paragraph 3B001.d.14.
The control text for former paragraph 3B001.d.16 has been moved to
the new paragraph 3B993.d.2, as described below. Therefore, the control
text is removed, and the paragraph is reserved.
New paragraph 3B001.d.17 controls equipment used for depositing
dielectric material between the metal lines of advanced ICs. This
material must have very low dielectric constant (low-k). Unlike control
3B001.d.15--which controls equipment that produces low-k films as-
deposited--3B001.d.17 controls films that achieve a low k after curing
with ultraviolet (UV) light. This UV curing is typically necessary for
achieving the dielectric constants necessary for ``advanced-node ICs.''
This equipment uses a plasma-enhanced chemical vapor deposition
process, which allows the substrate to be kept at a temperature below
500 degrees Celsius ([deg]C). The most advanced equipment also includes
the curing capability in the same platform as the deposition chamber to
avoid exposing the deposited film to moisture in-transit between
different platforms. Finally, this control specifies the geometry of
the deposited film to be consistent with the advanced metal layers of
advanced IC production nodes (thickness between 6 nanometers (nm) and
20 nm at an aspect ratio greater than or equal to 1:1.8, and a metal
pitch less than 24 nm).
New paragraph 3B001.d.18 expands on the existing controls on
equipment that deposits low-resistivity metals used in advanced memory
integrated circuits. Namely, this expands on the controls on molybdenum
and ruthenium beyond controls 3B001.d.12.b and 3B001.d.13,
respectively. 3B001.d.18 includes deposition techniques that utilize a
reducing agent, rather than keeping the chamber under vacuum.
Additionally, the control does not specify the type of precursor, but
instead specifies a precursor temperature above 75[deg]C. 3B001.d.18
also includes a note to specify that the metal precursor could be
located on the tool or elsewhere in the facility in a sub-fab. In some
cases, a fabrication facility will separate out toxic materials from
the main fabrication facility, instead placing them in a dedicated
``sub-fab.''
The new 3B001.d.19 controls equipment designed or modified for
depositing insulators used in advanced DRAM. As memory cells shrink,
the DRAM cell's capacitor needs to use an insulator with a higher
dielectric constant to compensate for its decreased size. 3B001.d.19
controls equipment that deposits material in very high aspect ratio
features (greater than 200:1, characteristic of 3D DRAM technology).
Additionally, 3B001.d.19 specifies the materials and processes used in
practice to achieve this deposition in high volume manufacturing.
Namely, the control specifies materials that require two metal
precursors and result in a film with a very high dielectric constant
(greater than 40). It further specifies that the two metal precursors
are delivered through direct liquid injection, which is a technique
that allows low-volatility precursors to achieve sufficient vapor
pressure to efficiently deposit on the large surface areas present in
high aspect ratio features. Also see the related control in the new
paragraph 3B993.d.4.
The new 3B001.d.20 is created to control certain physical
deposition equipment having electromagnets and being ``specially
designed'' for depositing tungsten into features with a specified
geometry. This equipment enables the creation of pure tungsten metal
contacts to overcome resistance challenges in the production of
``advanced-node ICs.''
3B001.e.1 is updated to include 3B001.a., .b, and .c and .d,
because all of these `semiconductor processing tools' all have the same
configuration.
3B001.f.1.b is updated to remove the parameter f.1.b.2.b. Items
previous controlled by this parameter are now controlled under the new
3B993.f.1.b.
The new 3B001.f.5 controls nanoimprint lithography equipment
capable of producing ``advanced-node ICs.'' To accomplish this, the
equipment must have a small overlay accuracy, in this control an
overlay accuracy less than 1.5nm. BIS has also created a related new
control on less advanced nanoimprint lithography, paragraph 3B993.f.2,
described below.
3B001.o.1 and 3B001.o.2 are moved to the new paragraphs 3B993.o.1.a
and o.1.b. Therefore, the control text is removed, and the paragraph is
reserved.
New paragraph 3B001.p.4 controls single wafer cleaning equipment,
which is required for advanced processes due to the need for higher
control over variables like contamination compared to batch cleaning
systems. 3B001.p.4 expands on former 3B001.p.3 (current 3B993.p.3) by
removing the ``surface modification drying'' parameter. That technique
can be challenging to use for the most advanced processes due to
pattern collapse from surface tensions. 3B001.p.4 controls an
alternative technique, supercritical or sublimination drying using
carbon dioxide (CO2).
3B001.r.is created to control equipment designed for deposition or
removal processes that improve the overall patterning achieved by EUV
lithography. See the Crosswalk for ECCN 3B001 commodities that moved to
New ECCN 3B993 under section III.D.4 for additional context on these
movements.
2. Revisions to 3B002
ECCN 3B002 is amended by removing 3B002.b from certain license
requirements in the license requirements table. The License Exception
LVS paragraph is revised by removing the exclusion for 3B002.b.
Additionally, ECCN 3B993 is added to the related controls for ECCN
3B002.
3. Revision of ECCNs 3B991 and 3B992
This IFR revises the headings of ECCN 3B991 and 3B992 to add ECCNs
3B993 and 3B994 to the equipment not
[[Page 96806]]
controlled by ECCNs 3B991 or 3B992. While ECCNs 3B993 and 3B994 may
have similar control parameters, they have a higher RS reason for
control than ECCNs 3B991 and 3B992, which are controlled for AT
reasons. Therefore, these revisions were made in order to maintain the
correct order of review.
4. Addition of ECCN 3B993
This IFR adds new ECCN 3B993 to specify items that enable
``advanced-node IC'' production, but which BIS believes also have
legitimate applications in non-advanced-node production, and thus do
not warrant nationwide license requirements or a presumption of denial.
These items are differentiated from those in the ECCN 3B001 item
paragraphs described above, which now specify items that are not only
enabling ``advanced-node IC'' production but used exclusively or
designed specifically for that purpose.
Crosswalk for ECCN 3B001 Commodities That Moved to New ECCN 3B993
----------------------------------------------------------------------------------------------------------------
3B001 Description 3B993
----------------------------------------------------------------------------------------------------------------
c.1.b................................. High-aspect ratio etch.................. c.1.
d.14.................................. Remotely-generated radical assisted d.1.
dielectric deposition.
d.16.................................. Dielectric deposition................... d.2.
f.1.b.2.b............................. Less-advanced DUV photolithography f.1.b.2.
equipment.
o.1 and o.2........................... Annealing equipment..................... o.1 and o.2.
p.1 and p.3........................... Cleaning equipment...................... p.1 and p.3.
----------------------------------------------------------------------------------------------------------------
Seven commodities are moved from former ECCN 3B001 paragraphs into
the new ECCN 3B993, due to their node-agnostic nature and established
usage in non-advanced-node fabrication applications. These include
commodities in former paragraphs 3B001.c.1.b (high-aspect ratio etch),
3B001.d.14 (remotely-generated radical assisted dielectric deposition),
3B001.d.16 (dielectric deposition), 3B001.f.1 (less-advanced DUV
photolithography equipment), 3B001.o.1 (annealing equipment), and
3B001.p.1 and p.3 (cleaning equipment). BIS has also added several
other commodities to new 3B993 ECCNs.
New paragraph 3B993.b.1 augments existing controls on ion
implantation in 2B005.b, 3B001.b, and 3B991.b.1.g. 3B993.b.1 controls
equipment that performs ``plasma doping,'' which enables dopant atoms
to be deposited into the sidewalls of 3D structures like FinFETs and
GAAFETs. This control also specifies several properties of the
equipment, including the wafer size it can accept (300 mm diameter),
power sources it uses (at least one radio frequency source and at least
one pulsed direct current source), and the atomic species it can
implant (namely, n-type or p-type dopants, which are the atomic species
used to tune the electrical properties of semiconductor material).
3B993.c.1 (formerly 3B001.c.1.b) controls certain equipment
designed or modified for anisotropic dry etching. Atomic layer etching
enhanced by the features described in 3B993.c.1 produce the vertical
edges required in high-quality, leading-edge advanced devices and
structures, including GAAFET and similar 3D structures. This control
includes a Note to inform the public that 3B993.c.1 includes etching by
`radicals', ions, sequential reactions, or non-sequential reactions. In
addition, it includes a Technical Note to define the term `radical'
used in the Note.
3B993.c.2 controls etch equipment used in the fabrication of
dynamic random access memory (DRAM) chips. As the size of DRAM cells
decrease, the lateral dimensions of all the features within the memory
cell also need to shrink. Crucially, this involves shrinking the
diameter of the capacitor used to store the bit of information
contained in the cell. One step in fabricating this capacitor is
etching a high-aspect ratio feature into a dielectric material.
Accordingly, the control focuses on equipment that can etch dielectric
materials to an aspect ratio of greater than 30:1. Furthermore, it
specifies the equipment can create openings with a lateral dimension
less than 40 nm (necessary for capacitors that fit in a single advanced
DRAM memory cell). This control also contains a Note to specify that it
does not apply to equipment designed for wafer diameters less than 300
mm.
3B993.c.3 expands on the control in 3B001.c.1.c by broadening the
scope of the fast gas switching time (from 300 milliseconds (ms) to 500
ms), and the individually controllable variable temperature elements in
the electrostatic chuck (from 20 to 10). 3B993.c.3 will not control
equipment already controlled by 3B001.c.1.c.
3B993.d.1 (derived from former 3B001.d.14) controls equipment for
depositing films in features with certain geometry and a specified
dielectric constant. This is an update to the former 3B001.d.14 control
by redesignating this equipment specified under new 3B001.d.14 and
specifying that the aspect ratio in 3B993.d.1.b describes the features.
These changes are made to differentiate license requirements for more
(3B001.d.14) versus less (3B993.d.1) advanced dielectric deposition
equipment.
3B993.d.2 (formerly 3B001.d.16) controls deposition for silicon and
carbon containing films while meeting certain temperature requirements,
having the capability to hold multiple vertically stacked wafers, and
having certain injector configurations, as specified.
3B993.d.3 controls equipment designed for chemical vapor deposition
(CVD) of carbon hard masks. This expands on 3B001.d.5--which controls
plasma-enhanced CVD (PECVD) of carbon hard masks--to encompass other
CVD techniques and resulting film properties. Carbon hard masks are
critical for multipatterning processes, with higher density masks
providing better etch selectivity and pattern fidelity, enabling the
creation of smaller features on the wafer.
3B993.d.4 (related to new 3B001.d.19) controls equipment designed
for depositing the insulators used in advanced DRAM production. As
memory cells shrink, the DRAM cell's capacitor needs to use an
insulator with a higher dielectric constant to compensate for its
decreased size. It further specifies that this equipment can deposit
material on very high aspect ratio features (greater than 50:1,
characteristic of advanced DRAM). Additionally, 3B993.d.4 specifies the
materials and processes used in practice to achieve this deposition in
high volume manufacturing. Namely, the control specifies materials that
require two metal precursors and result in a film with a high
dielectric constant (greater than 35). It further specifies that the
two metal precursors are delivered through direct liquid injection.
3B993.f.1 (formerly part of 3B001.f.1) reflects the scope of former
3B001.f.1.b.2.b, which specified certain
[[Page 96807]]
less-advanced DUV photolithography equipment. Equipment meeting the
parameters in 3B993.f.1 is not eligible for de minimis treatment, with
one exception as set forth in Sec. 734.4(a)(3) of the EAR, as
described below in Section B.1.
3B993.f.2 controls nanoimprint lithography equipment capable of
producing ``advanced-node ICs.'' This control specifies it controls
equipment with an overlay accuracy between 1.5 nm and 4.0 nm. Also see
the related new control on nanoimprint lithography, 3B001.f.5.
3B993.f.3 specified commodities designed or modified to improve the
productivity of controlled DUV photolithography equipment. BIS has also
added similar controls in 3D993 and 3E993 that cover ``software'' and
``technology'' for the ``development'' or ``production'' of commodities
specified by 3B993, which is intended to restrict ``software'' or
technology'' that could modify or improve DUV photolithography
equipment.
3B993.o.1 (formerly 3B001.o) controls certain annealing equipment
for reflow of copper, cobalt, and tungsten. The scope of control is
unchanged.
3B993.o.2 is created to control certain equipment designed for
annealing semiconductors. Annealing is an essential step used to modify
the electrical properties of semiconductor material to be useful in
transistors. This control is targeted at the ``millisecond spike
annealing'' technology, which is used in advanced node semiconductor
fabrication. Millisecond spike annealing allows for precise control of
dopant activation and diffusion, with the ``spike'' referring to the
extremely short duration during which the wafer is heated to the
desired temperature. This brief, intense heating allows for dopant
activation while minimizing unwanted diffusion, which is critical for
creating advanced semiconductors. This control covers both lamp-based
and laser-based millisecond spike annealing systems, as both can
achieve rapid heating and cooling required for this process. 3B993.o.2
includes a Technical Note that `duration' is the period of time (i.e.,
total elapsed time) the wafer is above the stated temperature.
3B993.p is created to control removal and cleaning equipment
3B993.p.1 (formerly 3B001.p.1) controls equipment designed for removing
polymeric residue and copper oxide film and enabling deposition of
copper metal in a vacuum (equal to or less than 0.01 Pa) environment.
3B993.p.3 (formerly 3B001.p.3) controls equipment designed for dry
surface oxide removal preclean or dry surface decontamination. BIS
notes that this control does not capture deposition equipment not
elsewhere specified, but which may also have the capability described
in the control.
3B993.q.1 is created to control metrology and inspection equipment
for use with patterned 300 mm semiconductor wafers, including equipment
employing either optical or electron beam techniques. It specifies the
equipment must be designed or modified to detect defects equal to or
smaller than 21nm, which BIS believes is critical for advanced-node
production applications. This control also specifies properties of the
source. For optical equipment (including broadband plasma equipment),
it specifies the wavelength must be less than 400 nm, but BIS notes
that this requirement would be met by equipment that can be tuned to a
wavelength both below and above 400 nm. For electron beam equipment, it
specifies the system must have a resolution less than or equal to
1.65nm, or certain properties for its electron beam source (i.e., a
cold field emission source, or two sources of any type).
3B993.q.2 controls metrology equipment that can improve the overlay
accuracy of photolithography equipment. Overlay accuracy is important
for multipatterning, a process which enables legacy photolithography
machines to create ``advanced-node ICs.'' 3B993.q.2 focuses on two
types of machines. 3B993.q.2.a controls machines that measure wafer
shape (typically used to feedforward measurements to lithography
machines). 3B993.q.2.b controls machines that measure focus and overlay
after resist development (typically used for feedback to a lithography
machines). 3B993.q.2.a controls only standalone equipment (not
equipment integrated into the lithography machines itself), whereas
3B993.q.2.b controls machines designed for integration to a track
(which maximizes throughput). 3B993.q.2.b also specifies that the
machine must have fast wavelength switching functionality and an
overlay measurement accuracy better than 0.5 nm. 3B993.q.2 also
includes Technical Notes to clarify the terminology in the control.
Namely, these specify that, for the purposes of 3B993.q.2, a `track' is
equipment designed for coating and developing photoresist formulated
for lithography, and `fast wavelength switching functionality' means
the equipment can the change the measurement wavelength and acquire a
measurement in less than 25 ms.
5. Addition of ECCN 3B994
Similar to ECCN 3B993, BIS has added new ECCN 3B994 to specify
items that can support ``advanced-node IC'' ``production,'' but which
BIS believes also have legitimate applications in non-advanced-node
production, and thus do not warrant nationwide license requirements or
a presumption of denial. ECCN 3B994 will be continually evaluated to
determine if additions, revisions, or removals are needed. ECCN 3B994
is controlled for RS and AT reasons; for the former, see Sec.
742.6(a)(11) and (b)(12) of the EAR.
3B994.b.2 controls certain ion implantation equipment. 3B994.b.2.a
controls low- and medium-current ion implantation equipment. This
equipment is used in advanced processes to maintain low damage and high
uniformity on the smallest transistors fabricated in advanced
production. 3B994.b.2.b controls high energy, low current systems which
can implant dopants at a shallow depth below the surface of the wafer,
which is used in some advanced processes. 3B994.b.2.c. controls systems
that can maintain a high angular accuracy between the ion beam and the
substrate, which is used to implant the non-planar transistor
structures used in ``advanced-node ICs.''
3B994.q.3 controls equipment that uses optical measurement
techniques and advanced software to determine the three-dimensional
structure of patterns on a semiconductor wafer. Such techniques can be
used to monitor and optimize process to fabricate the non-planar
transistors used in ``advanced-node ICs.''
6. Revision to ECCN 3D002
This IFR is removing the SME previously added to the license
requirements of ECCN 3D002 and revising it to align with typical
controls applied to Wassenaar Dual-Use List entries. The NS column 1
license requirement no longer only applies to certain SME, but now
applies to the entire entry. The NS and RS license requirements for
3B001.a.4, c, d, f.1. b, j to p, 3B002.b and c, to Macau and
destinations in Country Group D:5 are removed, because the WA control
is broader. The RS control is not necessary, because this entry is
controlled by a multilateral regime.
7. Addition of ECCN 3D992
BIS has added new ECCN 3D992 to control software for specified SME.
3D992.a controls ``software'' for the ``development'' or
``production,'' of
[[Page 96808]]
commodities specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4,
r, or 3B002.c. In addition, 3D992.b is added to control electronic
computer-aided design (ECAD) software for advanced semiconductor
packaging involving multiple chips or chiplets co-packaged in a single
device. This software must support complex 3D floorplans and must
conduct advanced simulations to detect and mitigate potential signal
degradation and electromagnetic interference. The control also includes
a Technical Note to specify that `multi-chip' includes both multi-die
and multi-chiplet.
8. Addition of ECCN 3D993
ECCN 3D993.a is added to control ``software'' for the
``production'' and ``development'' of commodities in ECCN 3B993.
Paragraph 3D993.b controls `Electronic Computer-Aided Design' (`ECAD')
``software'' designed or modified for the ``development'' or
``production'' of integrated circuits using multipatterning. Paragraph
3B993.c controls computational lithography software, which enables
fabrication facilities to decrease their minimum resolvable feature
size through techniques such as optical proximity correction, inverse
lithography, and hotspot correction. In some cases, this software acts
as a digital model of the fabrication facility, allowing for very
precise optimization of the fabrication process. Existing controls are
limited to computational lithography equipment specifically for EUV,
but computational lithography software that is not currently controlled
can improve the minimum feature size achievable with DUV to less than
40nm and can facilitate the complex mask decomposition necessary for
multipatterning. ECCN 3B993.d is added to control software designed or
modified to improve the productivity of controlled DUV photolithography
equipment. This addition addresses that potential workaround. 3D993
also includes a technical note which defines `computational
lithography'.
9. Addition of ECCNs 3E992 and 3E993
This IFR adds ECCN 3E992 to control ``technology'' for the
''production'' or ``development'' of commodities specified in
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r; and 3B002.c to or
within Macau or a destination specified in Country Group D:5 of
supplement no. 1 to part 740 of the EAR (see Sec. 742.4(a)(4) and
Sec. 742.6(a)(6)(i) of the EAR). This rule also moves the worldwide NS
and RS controls for ``software'' for equipment controlled by
3B001.c.1.a or c.1.c from ECCN 3E001 to ECCN 3E992, as well as the
License Exception IEC paragraph.
This rule adds ECCN 3E993.a to control ``technology'' for the
``development'' or ``production'' of commodities specified in 3B993
(see Sec. 742.6(a)(11) and (b)(12) of the EAR). ECCN 3E993.b is added
to control ``technology'' designed or modified to improve the
productivity of controlled DUV photolithography equipment.
10. Addition of ECCNs 3D994 and 3E994
This IFR adds ECCNs 3D994 and 3E994 to the CCL to control
``software'' and ``technology'' for the ``development'' or
``production'' of commodities specified in ECCN 3B994. These ECCNs will
be controlled for RS and AT reasons; for the former, see Sec.
742.6(a)(11) and (b)(12) of the EAR.
IV. Public Comments
BIS welcomes comments from the public on these additional changes
and clarifications made to Sec. 744.23(a)(4), as well as for any of
the other changes included in this IFR.
V. Savings Clauses
Savings clause for amendatory instructions 4, 5, 15, 16,
17, 19, 20, 21, 23, 25, 29, and 31: For changes in amendatory
instructions 4, 5, 15, 16, 17, 19, 20, 21, 23, 25, 29, and 31,
shipments of items removed from eligibility for a License Exception or
export, reexport, or transfer (in-country) without a license (NLR) as a
result of this regulatory action that were en route aboard a carrier to
a port of export, reexport, or transfer (in-country), on January 6,
2025, pursuant to actual orders for export, reexport, or transfer (in-
country) to or within a foreign destination, may proceed to that
destination under the previous eligibility for a License Exception or
export, reexport, or transfer (in-country) without a license (NLR),
provided the export, reexport, or transfer (in-country) is completed no
later than on February 3, 2025.
There is no savings clause for other amendatory
instructions in this IFR.
VI. Export Control Reform Act of 2018
On August 13, 2018, the President signed into law the John S.
McCain National Defense Authorization Act for Fiscal Year 2019, which
included the ECRA (codified, as amended, at 50 U.S.C. 4801-4852). ECRA
provides the legal basis for BIS's principal authorities and serves as
the authority under which BIS issues this rule. In particular, and as
noted elsewhere, Section 1753 of ECRA (50 U.S.C. 4812) authorizes the
regulation of exports, reexports, and transfers (in-country) of items
subject to U.S. jurisdiction. Further, Section 1754(a)(1)-(16) of ECRA
(50 U.S.C. 4813(a)(1)-(16)) authorizes, inter alia, the establishment
of a list of controlled items; the prohibition of unauthorized exports,
reexports, and transfers (in-country); the requirement of licenses or
other authorizations for exports, reexports, and transfers (in-country)
of controlled items; apprising the public of changes in policy,
regulations, and procedures; and any other action necessary to carry
out ECRA that is not otherwise prohibited by law. Pursuant to Section
1762(a) of ECRA (50 U.S.C. 4821(a)), these changes can be imposed in a
final rule without prior notice and comment.
VII. Rulemaking Requirements
1. Executive Orders 12866, 13563, and 14094 direct agencies to
assess all costs and benefits of available regulatory alternatives and,
if regulation is necessary, to select regulatory approaches that
maximize net benefits (including potential economic, environmental,
public health and safety effects and distributive impacts and equity).
Executive Order 13563 emphasizes the importance of quantifying both
costs and benefits and of reducing costs, harmonizing rules, and
promoting flexibility. Pursuant to Executive Order 12866, as amended,
this final rule has not been determined to be a ``significant
regulatory action.''
2. Notwithstanding any other provision of law, no person is
required to respond to, nor shall any person be subject to a penalty
for failure to comply with, a collection of information subject to the
requirements of the Paperwork Reduction Act of 1995 (44 U.S.C. 3501 et
seq.) (PRA), unless that collection of information displays a currently
valid Office of Management and Budget (OMB) Control Number.
This rule involves the following OMB-approved collections of
information subject to the PRA:
0694-0088, ``Multi-Purpose Application,'' which carries a
burden hour estimate of 29.4 minutes for a manual or electronic
submission;
0694-0096 ``Five Year Records Retention Period,'' which
carries a burden hour estimate of less than 1 minute;
0694-0122, ``Licensing Responsibilities and Enforcement;''
which carries a burden hour estimate of 10 minutes per electronic
submission;
0694-0137, ``License Exceptions and Exclusions;'' which
carries a burden hour estimate of 5 minutes per electronic submission;
and
[[Page 96809]]
0607-0152 ``Automated Export System (AES) Program,'' which
carries a burden hour estimate of 3 minutes per electronic submission.
This IFR will affect the collection under control number 0694-0088,
for the multipurpose application because of the addition of HBM
controls to ECCN 3A090.c, the eight new Red Flags added to supplement
no. 3 to part 732, and the addition of the new FDP rules under Sec.
734.9(e)(3) and (k), which will result in additional license
applications. BIS estimates that the changes included in this IFR will
result in an increase of 75 multi-purpose applications (i.e., an
increase of 75 license applications) submitted annually to BIS.
However, the additional burden falls within the existing burden
estimates currently associated with these control numbers.
This regulation also involves a collection previously approved by
the OMB under control number 0694-0122, ``Licensing Responsibilities
and Enforcement'' because this rule under the revision to Sec.
758.6(a)(2) will require the items level classification for ECCN(s)
3A090.c to be included on the commercial invoice, similar to the
previous requirement to include this classification information on the
commercial invoice, which will now require identifying new 3A090.c when
applicable on the commercial invoice. BIS does not anticipate a change
in the total burden hours associated with the PRA and OMB control
number 0694-0122 as a result of this rule.
This IFR also involves a collection previously approved by the OMB
under control number 0694-0137, ``License Exceptions and Exclusions''
because this rule includes the addition of two new EAR license
exceptions, which each include new notification or reporting
requirements that are being added to this BIS information collection
under OMB Control Number 0694-0137. Specifically, this IFR adds new
reporting requirements with the addition of License Exception HBM under
Sec. 740.25 of the EAR and adds new notification and reporting
requirements for the addition of License Exception RFF under Sec.
740.26 of the EAR. These changes are expected to result in an increase
of 10 notifications to BIS under License Exception HBM. BIS estimates
that the time needed to submit each notification to BIS is 20 minutes,
resulting in an increase in burden hours of 3 hours. This collection of
information fits within the scope of this IC. These changes are
expected to result in an increase of 306 prior notifications under
Sec. 740.26(d)(1) to BIS under License Exception RFF. BIS estimates
that the time needed to submit each notification to BIS is 5 minutes,
resulting in an increase in burden hours of 26 hours. This collection
of information fits within the scope of this IC.
These changes are expected to result in an increase of not more
than 3 notifications within one business day under Sec. 740.26(d)(2)
to BIS under License Exception RFF. BIS estimates that the time needed
to submit each notification to BIS is 5 minutes, resulting in an
increase in burden hours of no more than 15 minutes. This collection of
information fits within the scope of this IC. These changes are
expected to result in an increase of 306 installation reports under
Sec. 740.26(e)(1) to BIS under License Exception RFF. BIS estimates
that the time needed to submit each notification to BIS is 5 minutes,
resulting in an increase in burden hours of 26 hours. These changes are
expected to result in an increase of 306 annual end-use confirmation
reports under Sec. 740.26(e)(2) to BIS under License Exception RFF.
BIS estimates that the time needed to submit each notification to BIS
is 5 minutes, resulting in an increase in burden hours of 26 hours.
This collection of information fits within the scope of this IC.
Additional information regarding these collections of information--
including all background materials--can be found at https://www.reginfo.gov/public/do/PRAMain by using the search function to enter
either the title of the collection or the OMB Control Number.
3. This rule does not contain policies with federalism implications
as that term is defined in Executive Order 13132.
4. Pursuant to Section 1762 of ECRA (50 U.S.C. 4821), this action
is exempt from the Administrative Procedure Act (APA) (5 U.S.C. 553)
requirements for notice of proposed rulemaking, opportunity for public
participation, and delay in effective date. While Section 1762 of ECRA
provides sufficient authority for such an exemption, this action is
also independently exempt from these APA requirements because it
involves a military or foreign affairs function of the United States (5
U.S.C. 553(a)(1)). However, BIS is accepting comments on this IFR.
5. Because a notice of proposed rulemaking and an opportunity for
public comment are not required to be given for this rule under the APA
(5 U.S.C. 553) or by any other law, the analytical requirements of the
Regulatory Flexibility Act (5 U.S.C. 601, et seq.) are not applicable.
Accordingly, no regulatory flexibility analysis is required, and none
has been prepared.
List of Subjects
15 CFR Parts 732
Administrative practice and procedure, Exports, Reporting and
recordkeeping requirements.
15 CFR Part 734
Administrative practice and procedure, Exports, Inventions and
patents, Research, Science and technology.
15 CFR Parts 740 and 758
Administrative practice and procedure, Exports, Reporting and
recordkeeping requirements.
15 CFR Part 742
Exports, Terrorism.
15 CFR Part 744
Exports, Reporting and recordkeeping requirements, Terrorism.
15 CFR Parts 736, 770, and 772
Exports.
15 CFR Part 762
Administrative practice and procedure, Business and industry,
Confidential business information, Exports, Reporting and recordkeeping
requirements.
15 CFR Part 774
Exports, Reporting and recordkeeping requirements.
For the reasons stated in the preamble, parts 732, 734, 736, 740,
742, 744, 758, 762, 770, 772, and 774 of the Export Administration
Regulations (15 CFR parts 730 through 774) are amended as follows:
PART 732--STEPS FOR USING THE EAR
0
1. The authority citation for part 732 continues to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p.
228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.
0
2. In supplement no. 3 to part 732 amend paragraph (b) by adding
paragraphs 20 through 27 to read as follows:
Supplement No. 3 to Part 732--BIS's ``Know Your Customer'' Guidance and
Red Flags
* * * * *
(b) * * *
20. A non-advanced fabrication facility orders equipment
designed for ``advanced-
[[Page 96810]]
node IC'' production, (e.g., Sec. 742.4(a)(4) ECCNs) that it would
not need given its technology level. This technology mismatch
indicates the fabrication facility produces or intends to produce
``advanced-node ICs,'' and it requires resolution before the
exporter, reexporter, or transferor proceeds with the transaction.
21. An exporter, reexporter, or transferor receives an order for
which the ultimate owner or user of the items is uncertain, such as
a request to ship equipment for developing or producing integrated
circuits to a distributor without a manufacturing operation, when
the item is ordinarily customized for the end user or installed by
the supplier. Because the distributor would never be the end user of
such equipment, the ultimate owner or beneficiary is unknown to the
exporter, reexporter, or transferor. This uncertainty raises a Red
Flag that needs to be resolved before the exporter, reexporter, or
transferor proceeds with the transaction, in particular for items
where such information would typically be known to an exporter,
reexporter, or transferor, such as for advanced computing items,
supercomputers, or SME.
22. An exporter, reexporter, or transferor receives an order or
request related to an item that would require an export, reexport,
or in-country transfer license from BIS or another jurisdiction that
maintains controls on the item, and there is uncertainty about the
license history for the item. For example, there is information
known to the exporter, reexporter, or transferor indicating that a
required license was not, or would not have likely been obtained by
the end user, such as where the end user or end use, or the ECCN and
end-user destination triggers a license review policy of a
presumption of denial. These uncertainties raise a Red Flag that
needs to be resolved before the exporter, reexporter, or transferor
proceeds with further transactions related to the item to avoid the
risk of violating Sec. 764.2(e) (``Acting with knowledge of a
violation.''). This would include acting on requests to service,
install, upgrade, or otherwise maintain the item of concern.
23. An exporter, reexporter, or transferor receives a request to
service, install, upgrade, or otherwise maintain an item that was
altered after export, reexport, or transfer by a third-party for a
more advanced end use that would normally require a license for the
destination. This scenario raises a Red Flag that the item is
employed in a prohibited end use that would need to be resolved
before proceeding further with the transaction.
24. An exporter, reexporter, or transferor receives a request
for an item or service from a new customer. The new customer's
senior management or technical leadership (e.g., process engineers
that are team leaders or otherwise leading development or production
activities) overlaps with an entity on the Entity List in supplement
no. 4 to part 744 of the EAR, particularly if the supplier
previously provided the same or substantially similar item or
service to the Entity List entity, most likely prior to the listed
entity being added to the Entity List. This scenario would raise a
Red Flag that the entity requesting the item or service is engaged
in or supporting the same prohibited end use as the Entity List
entity, and the supplier would need to conduct additional due
diligence before proceeding with the transaction with the new
customer.
25. An exporter, reexporter, or transferor receives a request
from a new customer for an item or service that was designed or
modified for an existing or former customer that is now designated
on the Entity List. This scenario would raise a Red Flag that the
new customer has assumed the operations for which the item or
service is still needed to engage in or support the same prohibited
end-use for which the Entity List entity was listed. The exporter,
reexporter, or transferor must resolve this Red Flag before
proceeding with the transaction.
26. For purposes of analyzing the scope of the Entity List FDP
rule for Footnote 5 entities described in Sec. 734.9(e)(3) and the
SME FDP rule in Sec. 734.9(k), if a foreign-produced item is
described in the relevant Category 3B ECCN in Sec. 734.9(e)(3)(i)
or Sec. 734.9(k)(1) and contains at least one integrated circuit,
then there is a Red Flag that the foreign-produced item meets the
product scope of the applicable FDP rule. The exporter, reexporter,
or transferor must resolve this Red Flag before proceeding.
27. The end user is a ``facility'' that is physically connected
to a ``facility'' where ``production'' of ``advanced-node ICs''
occurs. This scenario raises a Red Flag that the end user is also a
``facility'' where the ``production'' of ``advanced-node ICs''
occurs, and the supplier would need to conduct additional due
diligence before proceeding with the transaction. For example, if an
exporter, reexporter, or transferor receives an equipment order from
a company that is engaged in ``production'' of non-``advanced-node
ICs'' in a building with a bridge, tunnel, or other connection to
another building where the ``production'' of ``advanced-node ICs''
occurs, then both buildings would be subject to the controls under
Sec. 744.23 of the EAR. However, if the exporter or fabrication
facility has received an Advisory Opinion from BIS confirming that
the ``production'' technology node for the relevant facility does
not qualify as an ``advanced-node IC'' technology node, that would
resolve the Red Flag of the connection to the advanced facility.
Unless the Red Flag is resolved through an Advisory Opinion, the two
buildings are treated as a single ``facility'' for purposes of Sec.
744.23 of the EAR.
PART 734--SCOPE OF THE EXPORT ADMINISTRATION REGULATIONS
0
3. The authority citation for part 734 is revised to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p.
950; E.O. 13020, 61 FR 54079, 3 CFR, 1996 Comp., p. 219; E.O. 13026,
61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3
CFR, 2001 Comp., p. 783; E.O. 13637, 78 FR 16129, 3 CFR, 2014 Comp.,
p. 223; Notice of November 7, 2024, 89 FR 88867 (November 8, 2024).
0
4. Section 734.4 is amended by:
0
a. Revising paragraph (a)(3); and
0
b. Adding paragraphs (a)(8) and (9).
The revision and additions read as follows:
Sec. 734.4 De minimis U.S. content.
(a) * * *
(3) There is no de minimis level for equipment meeting the
parameters in ECCN 3B993.f.1 of the Commerce Control List in supplement
no. 1 to part 774 of the EAR, when the equipment is destined for use in
the ``development'' or ``production'' of ``advanced-node integrated
circuits'' and the ``advanced-node integrated circuits'' meet the
parameter specified in paragraph (1) of that definition in Sec. 772.1
of the EAR, unless the country from which the foreign-made item was
first exported \1\ has a commodity specified on an export control list.
* * * * *
(8) There is no de minimis level related to the SME FDP rule for a
commodity meeting the parameters in ECCNs 3B001.a.4, c, d, f.1, f.5, k
to n, p.2, p.4, r, or 3B002.c of the Commerce Control List (CCL) in
supplement no. 1 to part 774 of the EAR, when the commodity contains a
U.S.-origin integrated circuit specified under Category 3, 4, or 5 of
the CCL, and the commodity is destined for Macau or a destination
specified in Country Group D:5, unless excluded from the national
security license requirement in Sec. 742.4(a)(4) or the regional
stability license requirement in Sec. 742.6(a)(6) of the EAR.
(9) There is no de minimis level related to the Footnote 5 FDP rule
for an item meeting the parameters in ECCNs specified in Category 3B
(except 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, or 3B002.c) of
the Commerce Control List (CCL) in supplement no. 1 to part 774 of the
EAR, when the commodity contains a U.S.-origin integrated circuit
specified under Category 3, 4, or 5 of the CCL, and the commodity is
destined for an entity with a Footnote 5 designation in the license
requirement column of the Entity List in supplement no. 4 to part 744
of the EAR.
* * * * *
\1\ The Government of Japan added ArF-wet lithography equipment
and other advanced semiconductor manufacturing equipment to its
control list for all regions on July 23, 2023.
* * * * *
0
5. Section 734.9 is amended by:
0
a. Revising the introductory text;
0
b. Revising paragraphs (e) introductory text, (e)(1)(i)(A) and (B), and
(e)(2)(i)(A) and (B);
0
c. Adding paragraph (e)(3);
[[Page 96811]]
0
d. Revising paragraphs (h)(1)(i)(A) introductory text, (h)(1)(ii)(A),
and (i)(1)(i) and (ii); and
0
e. Adding paragraph (k).
The revisions and additions read as follows:
Sec. 734.9 Foreign-Direct Product (FDP) Rules.
Foreign-produced items located outside the United States are
subject to the EAR when they are a ``direct product'' of specified
``technology'' or ``software,'' produced by a complete plant or `major
component' of a plant that itself is a ``direct product'' of specified
``technology'' or ``software,'' or, for specified foreign-produced
items in paragraph (e)(3)(i)(B)(2) of this section, contain an item
produced by a complete plant or `major component' of a plant that
itself is a ``direct product'' of specified ``technology'' or
``software.'' If a foreign-produced item is subject to the EAR, then
you should separately determine the license requirements that apply to
that foreign-produced item (e.g., by assessing the item classification,
destination, end-use, and end-user in the relevant transaction). Not
all transactions involving foreign-produced items that are subject to
the EAR require a license. Those transactions that do require a license
may be eligible for a license exception.
* * * * *
(e) Entity List FDP rules. A foreign-produced item is subject to
the EAR if it meets the product scope and end-user scope in either
Entity List FDP rule footnote 1 provision in paragraph (e)(1) of this
section; the Entity List FDP rule footnote 4 provision in paragraph
(e)(2) of this section; or the Entity List FDP rule Footnote 5
provision in paragraph (e)(3) of this section.
(1) * * *
(i) * * *
(A) ``Direct product'' of ``technology'' or ``software.'' A
foreign-produced item meets the product scope of this paragraph
(e)(1)(i)(A) if the foreign-produced item is a ``direct product'' of
``technology'' or ``software'' subject to the EAR and specified in ECCN
3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901,
3E991, 3E992, 3E993, 3E994, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993,
5D001, 5D991, 5E001, or 5E991 of the Commerce Control List (CCL) in
supplement no. 1 to part 774 of the EAR; or
(B) Product of a complete plant or 'major component' of a plant
that is a ``direct product.'' A foreign-produced item meets the product
scope of this paragraph if the foreign-produced item is produced by any
complete plant or `major component' of a plant that is located outside
the United States, when the complete plant or `major component' of a
plant, whether made in the U.S. or a foreign country, itself is a
``direct product'' of U.S.-origin ``technology'' or ``software'' that
is specified in ECCN 3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001,
3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D993, 4D994,
4E001, 4E992, 4E993, 5D001, 5D991, 5E001, or 5E991 of the CCL.
Note 2 to paragraph (e)(1)(i): A foreign-produced item includes
any foreign-produced wafer whether finished or unfinished.
* * * * *
(2) * * *
(i) * * *
(A) ``Direct product'' of ``technology'' or ``software.'' The
foreign-produced item is a ``direct product'' of ``technology'' or
``software'' subject to the EAR and specified in ECCN 3D001, 3D901
3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901, 3E991, 3E992,
3E993, 3E994, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D002,
5D991, 5E001, 5E002, or 5E991 of the CCL; or
(B) Product of a complete plant or 'major component' of a plant
that is a ``direct product.'' A foreign-produced item meets the product
scope of this paragraph if the foreign-produced item is produced by any
complete plant or `major component' of a plant that is located outside
the United States, when the complete plant or `major component' of a
plant, whether made in the U.S. or a foreign country, itself is a
``direct product'' of U.S.-origin ``technology'' or ``software'' that
is specified in ECCN 3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001,
3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D993, 4D994,
4E001, 4E992, 4E993, 5D001, 5D002, 5D991, 5E001, 5E002, or 5E991 of the
CCL.
* * * * *
(3) Entity List FDP rule: Footnote 5. A foreign-produced commodity
is subject to the EAR if it meets both the product scope in paragraph
(e)(3)(i) of this section and the end-user scope in paragraph
(e)(3)(ii) of this section. See Sec. 744.11(a)(2)(v) of the EAR for
license requirements, exclusion from license requirements, and license
review policy, applicable to foreign-produced commodities that are
subject to the EAR pursuant to this paragraph (e)(3).
(i) Product Scope Entity List FDP rule: Footnote 5. The product
scope applies if a foreign-produced commodity is specified in ECCN
3B001 (except 3B001.a.4, c, d, f.1, f.5, g, h, k to n, p.2, p.4, r),
3B002 (except 3B002.c), 3B903, 3B991 (except 3B991.b.2.a through
3B991.b.2.b), 3B992, 3B993, or 3B994, and meets the conditions of
either paragraph (e)(3)(i)(A) or (B) of this section.
(A) ``Direct product'' of ``technology'' or ``software.'' A
foreign-produced item meets the product scope of this paragraph if the
foreign-produced commodity is a ``direct product'' of ``technology'' or
``software'' subject to the EAR and specified in ECCN 3D001 (for 3B
commodities), 3D901(for 3B903), 3D991 (for 3B991 and 3B992), 3D993,
3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991
and 3B992), 3E993, or 3E994 of the Commerce Control List (CCL) in
supplement no. 1 to part 774 of the EAR; or
(B) Product of a complete plant or `major component' of a plant
that is a ``direct product,'' or a commodity that contains a product of
a complete plant or `major component' of a plant that is a ``direct
product.'' A foreign-produced commodity meets the product scope of this
paragraph if the foreign-produced commodity meets at least one of the
following conditions:
(1) Is produced by any complete plant or `major component' of a
plant that is located outside the United States, when the complete
plant or `major component' of a plant, whether made in the United
States or a foreign country, itself is a ``direct product'' of U.S.-
origin ``technology'' or ``software'' that is specified in ECCN 3D001
(for 3B commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992, 3D993,
3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991
and 3B992), 3E992, 3E993, or 3E994 of the CCL; or
(2) Contains a commodity produced by any complete plant or `major
component' of a plant that is located outside the United States, when
the complete plant or `major component' of a plant, whether made in the
United States or a foreign country, itself is a ``direct product'' of
U.S.-origin ``technology'' or ``software'' that is specified in ECCN
3D001 (for 3B commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992,
3D993, 3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for
3B991 and 3B992)), 3E992, 3E993, or 3E994 of the CCL.
Note 3 to paragraph (e)(3)(i)(B)(2):
The product scope of paragraph (e)(3)(i) is met if a foreign-
produced commodity contains an integrated circuit that is produced
by a complete plant or `major component' of a plant that itself is a
``direct product'' of U.S.-origin ``technology'' or ``software''
specified in the ECCNs described in paragraph
[[Page 96812]]
(e)(3)(i)(B)(2). See Red Flag 26 in supplement no. 3 to part 732 for
additional guidance on the scope of paragraph (e)(3)(i). Production
of an integrated circuit includes fabrication of the integrated
circuit in a wafer, as well as assembly, testing, and packaging of
the integrated circuit.
(ii) End-user scope of the Entity List FDP rule: Footnote 5. A
foreign-produced commodity meets the end-user scope of this paragraph
(e)(3)(ii) if there is ``knowledge'' that:
(A) Activities involving Footnote 5 designated entities. The
foreign-produced commodity will be incorporated into any ``part,''
``component,'' or ``equipment'' produced, purchased, or ordered by any
entity with a Footnote 5 designation in the license requirement column
of the Entity List in supplement no. 4 to part 744 of the EAR; or
(B) Footnote 5 designated entities as transaction parties. Any
entity with a Footnote 5 designation in the license requirement column
of the Entity List in supplement no. 4 to part 744 of the EAR is a
party to any transaction involving the foreign-produced commodity
(e.g., as a ``purchaser,'' ``intermediate consignee,'' ``ultimate
consignee,'' or ``end-user'').
* * * * *
(h) * * *
(1) * * *
(i) * * *
(A) The foreign-produced item is the ``direct product'' of
``technology'' or ``software'' subject to the EAR and specified in ECCN
3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901,
3E991, 3E992, 3E993, 3E994, 4D001, 4D090, 4D993, 4D994, 4E001, 4E992,
4E993, 5D001, 5D002, 5D991, 5E001, 5E991, or 5E002 of the CCL; and
* * * * *
(ii) * * *
(A) The foreign-produced item is produced by any complete plant or
`major component' of a plant that is located outside the United States,
when the plant or `major component' of a plant, whether made in the
United States or a foreign country, itself is a ``direct product'' of
U.S.-origin ``technology'' or ``software'' that is specified in ECCN
3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901,
3E991, 3E992, 3E993, 3E994, 4D001, 4D090, 4D993, 4D994, 4E001, 4E992,
4E993, 5D001, 5D991, 5E001, 5E991, 5D002, or 5E002 of the CCL; and
* * * * *
(i) * * *
(1) * * *
(i) ``Direct product'' of ``technology'' or ``software.'' The
foreign-produced item meets the product scope of this paragraph
(i)(1)(i) if the foreign-produced item is a ``direct product'' of
``technology'' or ``software'' subject to the EAR and specified in ECCN
3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901,
3E991, 3E992, 3E993, 3E994, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993,
5D001, 5D002, 5D991, 5E001, 5E002, or 5E991 of the CCL; or
(ii) Product of a complete plant or 'major component' of a plant
that is a ``direct product.'' A foreign-produced item meets the product
scope of this paragraph if the foreign-produced item is produced by any
complete plant or `major component' of a plant that is located outside
the United States, when the complete plant or `major component' of a
plant, whether made in the United States or a foreign country, itself
is a ``direct product'' of U.S.-origin ``technology'' or ``software''
that is specified in ECCN 3D001, 3D901, 3D991, 3D992, 3D993, 3D994,
3E001, 3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D994,
4E001, 4E992, 4E993, 5D001, 5D002, 5D991, 5E001, 5E002, or 5E991 of the
CCL.
* * * * *
(k) Semiconductor Manufacturing Equipment (SME) FDP rule. A
foreign-produced commodity is subject to the EAR if it meets both the
product scope in paragraph (k)(1) of this section and the destination
scope in paragraph (k)(2) of this section. See Sec. Sec. 742.4(a)(4)
and 742.6(a)(6)(i)(A) of the EAR for license requirements and
exclusions to the license requirements and Sec. Sec. 742.4(b)(2) and
742.6(b)(10) for license review policy applicable to foreign-produced
commodities that are subject to the EAR under this paragraph (k).
(1) Product scope. The product scope applies to a foreign-produced
commodity specified in ECCN 3B001.a.4, c, d, f.1, f.5, k to n, p.2,
p.4, r, or 3B002.c that meets the conditions of either paragraph
(k)(1)(i) or (ii) of this section.
(i) ``Direct product'' of ``technology'' or ``software.'' A
foreign-produced commodity meets the product scope of this paragraph
(k) if the foreign-produced commodity is the ``direct product'' of
``technology'' or ``software'' subject to the EAR and specified in
3D992 or 3E992 of the CCL; or
(ii) Product of a complete plant or `major component' of a plant
that is a ``direct product.'' A foreign-produced commodity meets the
product scope of this paragraph if it meets either of the following
conditions:
(A) Is produced by any complete plant or `major component' of a
plant that is located outside the United States, when the plant or
`major component' of a plant, whether made in the United States or a
foreign country, itself is a ``direct product'' of U.S.-origin
``technology'' or ``software'' that is specified in ECCN 3D001 (for 3B
commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992, 3D993, 3D994,
3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991 or
3B992), 3E992, 3E993, or 3E994 of the CCL; or
(B) Contains a commodity produced by any complete plant or `major
component' of a plant that is located outside the United States, when
the complete plant or `major component' of a plant, whether made in the
U.S. or a foreign country, itself is a ``direct product'' of U.S.-
origin ``technology'' or ``software'' that is specified in ECCN 3D001
(for 3B commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992, 3D993,
3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991
or 3B992), 3E992, 3E993, or 3E994 of the CCL.
Note 3 to paragraph (k)(1)(ii)(B): The product scope of
paragraph (k)(1) is met if a foreign-produced commodity contains an
integrated circuit that is produced by a complete plant or `major
component' of a plant that itself is a ``direct product'' of U.S.-
origin ``technology'' or ``software'' specified in the ECCNs
described in paragraph (k)(1)(ii)(B). See Red Flag 26 in supplement
no. 3 to part 732 for additional guidance on the scope of paragraph
(k)(1). Production of an integrated circuit includes fabrication of
the integrated circuit in a wafer, as well as assembly, testing, and
packaging of the integrated circuit.
(2) Destination scope of the SME FDP rule. A foreign-produced item
meets the destination scope of this paragraph (k)(2) if there is
``knowledge'' that the foreign-produced item is destined to Macau or a
destination in Country Group D:5 of supplement no. 1 to part 740 of the
EAR.
* * * * *
0
6. Section 734.19 is revised to read as follows:
Sec. 734.19 Transfer of access information and export, reexport, and
transfer (in-country) of software keys.
(a) To the extent an authorization would be required to transfer
``technology'' or ``software,'' a comparable authorization is required
to transfer ``access information'' if done with ``knowledge'' that such
transfer would result in the release of such ``technology'' or
``software'' without a required authorization.
Note 1 to paragraph (a): For purposes of this section, a release
of ``software'' includes source code and object code.
[[Page 96813]]
(b) Software keys, also called software license keys, that allow
users the ability to use the ``software'' or hardware, or software keys
that renew existing ``software'' or hardware use licenses, are
classified and controlled under the same ECCNs on the CCL as the
corresponding ``software'' or hardware to which they provide access. If
authorization is required for the export, reexport, or transfer (in-
country) of the ``software'' or hardware, the same level of
authorization is required for the software key. If authorization is
obtained for the export, reexport, or transfer (in-country) of the
``software'' or hardware, that authorization also applies to the
corresponding software license key. If no authorization was required
for the initial export of the ``software'' or hardware and the
associated software key, but a license requirement is later imposed on
the ``software'' or hardware, (e.g., a license requirement is imposed
because the end user becomes listed on the Entity List in supplement
no. 4 to part 744), then subsequent exports, reexports, or transfers
(in-country) of both the ``software'' and hardware, and the associated
software license key will be subject to the new license requirement.
Note 2 to paragraph (b): This paragraph does not apply to keys
that unlock dormant functionality in an item. However, in some
cases, changes to, or the addition of, features may impact the
classification of the item.
PART 736--GENERAL PROHIBITIONS
0
7. The authority citation for part 736 is revised to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p.
950; E.O. 13020, 61 FR 54079, 3 CFR, 1996 Comp., p. 219; E.O. 13026,
61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3
CFR, 2001 Comp., p. 783; E.O. 13338, 69 FR 26751, 3 CFR, 2004 Comp.,
p. 168; Notice of May 8, 2024, 89 FR 40355 (May 9, 2024); Notice of
November 7, 2024, 89 FR 88867 (November 8, 2024).
0
8. Section 736.2 is amended by revising paragraph (b)(3)(i) to read as
follows:
Sec. 736.2 General prohibitions and determination of applicability.
* * * * *
(b) * * *
(3) General Prohibition Three--Foreign-direct product (FDP) rules.
(i) You may not, without a license or license exception, export from
abroad, reexport, or transfer (in-country) foreign-``direct products''
or other foreign-produced items subject to the EAR pursuant to Sec.
734.9 if such items are subject to a license requirement in part 736,
742, 744, 746, or 764 of the EAR.
* * * * *
0
9. Supplement no. 1 to part 736 is amended by revising paragraphs
(d)(1), (2), and (3), to read as follows:
Supplement No. 1 to Part 736--General Orders
* * * * *
(d) * * *
(1) TGL--Less restricted SME ``parts,'' ``components,'' or
``equipment.'' This TGL only overcomes the license requirements
described in Sec. 744.23(a)(4) of EAR when:
(i) Product scope. The items subject to the EAR that are
specified on the Commerce Control List (CCL) in supplement no. 1 to
part 774 of the EAR, as follows:
(A) ECCNs that are designated as controlled on the CCL only for
AT reasons (3A991, 3B992, and associated ``software'' and
``technology''); or
(B) ECCN 3B001.c.4, 3B993.b.1, c.2, c.3, d.4, f.2, f.3, o.2,
q.1, q.2, 3B994, 3D993.a (for commodities specified in this
paragraph), 3D993.b through d, 3D994, 3E993.a (for commodities
specified in this paragraph), 3E993.b, or 3E994; and
(ii) End-use scope. The recipient is ``developing'' or
``producing'' ``parts,'' ``components,'' or ``equipment'' (as
specified in Sec. Sec. 744.23(a)(4) and 742.6(a)(11)) of the EAR)
at the direction of a company that is headquartered in the United
States or a destination specified in Country Group A:5 or A:6 and
not majority-owned by an entity headquartered in either Macau or a
destination specified in Country Group D:5.
(2) TGL--Advanced computing items. This TGL only overcomes the
license requirements described in Sec. 742.6(a)(6)(iii) of the EAR
when the criteria in paragraphs (d)(2)(i) and either (d)(2)(ii)(A)
or (d)(2)(ii)(B) are met.
(i) Product scope. The items subject to the EAR are specified
in, either:
(A) ECCNs 3A001.z; 3A090.a and 3A090.b; 3D001 (for ``software''
for commodities controlled by 3A001.z, 3A090.a and 3A090.b); 3E001
(for ``technology'' for commodities controlled by 3A001.z, 3A090.a
and .b); 4A003.z; 4A004.z; 4A005.z; 4A090; 4D001 (for ``software''
for commodities controlled by 4A003.z, 4A004.z, and 4A005.z); 4D090;
4E001 (for ``technology'' for commodities controlled by 4A003.z,
4A004.z, 4A005.z, 4A090 or ``software'' specified by 4D001 (for
4A003.z, 4A004.z, and 4A005.z); 4D090; 5A002.z; 5A004.z; 5A992.z;
5D002.z; 5D992.z; 5E002 (for ``technology'' for commodities
controlled by 5A002.z or 5A004.z or ``software'' specified by 5D002
(for 5A002.z or 5A004.z commodities)); or 5E992 (for ``technology''
for commodities controlled by 5A992.z or ``software'' controlled by
5D992.z) of the Commerce Control List (CCL); or
(B) ECCN 3A090.c.
(ii) End-use scope--(A) For all items under paragraph (d)(2)(i).
Any item identified under the paragraph (d)(2)(i) of this
supplement, may be exported, reexported, or transferred (in-country)
to or within a destination specified in Country Groups D:1, D:4, or
D:5 (and not specified in Country Groups A:5 or A:6) or for 3A090.c
to or within Macau or a destination specified in Country Group D:5
when either of the following apply:
(1) The end user is located in, but is not headquartered in, or
whose ultimate parent company is not headquartered in, Macau or
Country Group D:5 and the end use is to continue or engage in the
following activities: integration, assembly (mounting), inspection,
testing, quality assurance, and distribution of items covered by
items specified in paragraph (d)(2)(i); and
(2) The ultimate end user of these items is located outside of
destinations specified in Country Groups D:1, D:4, or D:5 (and not
specified in Country Groups A:5 or A:6) by entities not
headquartered in or whose ultimate parent company is not
headquartered in Macau or a destination specified in Country Group
D:5.
(B) Additional permitted ultimate end use for 3A090.c. ECCN
3A090.c commodities are authorized under this paragraph (d)(2)(ii)
of this General Order No. 4 for use in any destination if the
3A090.c commodity is incorporated into another commodity, provided
that the higher-level commodity is not identified in paragraph
(d)(2)(i) of this General Order No. 4. If the higher-level commodity
is identified under paragraph (d)(2)(i), of this General Order No.
4, then the ultimate end use of these items is authorized under this
paragraph (d)(2)(ii) for destinations other than those specified in
Country Groups D:1, D:4, or D:5 (and not specified in Country Groups
A:5 or A:6) by entities not headquartered in, or whose ultimate
parent company is not headquartered in, Macau or a destination
specified in Country Group D:5. Any subsequent export, reexport, or
transfer (in-country) of a 3A090.c commodity (regardless of whether
it was incorporated into a higher-level commodity) would also need
to comply with any other applicable EAR license requirements such as
those based on the classification (including, if relevant, the
higher-level commodity) and the end use and parties to the
transaction.
(3) Validity date follows:
(i) Paragraph (d)(1)(i)(A) expires on December 31, 2026;
(ii) Paragraph (d)(1)(i)(B) expires on December 31, 2026;
(iii) Paragraph (d)(2)(i)(A) expires on December 31, 2025; and
(iv) Paragraph (d)(2)(i)(B) expires on December 31, 2026.
* * * * *
PART 740--LICENSE EXCEPTIONS
0
10. The authority citation for part 740 continues to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; 22 U.S.C. 7201 et seq.; E.O. 13026, 61 FR
58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR,
2001 Comp., p. 783.
0
11. Section 740.2 is amended by:
0
a. Revising paragraph (a)(9)(i) and paragraph (a)(9)(ii) introductory
text;
[[Page 96814]]
0
b. Adding a new note to paragraph (a)(9)(ii).
The revisions and addition read as follows:
Sec. 740.2 Restrictions on all License Exceptions.
* * * * *
(a) * * *
(9) * * *
(i) The item is controlled under ECCN 3B001.a.4, c, d, f.1, f.5, k
to n, p.2, p.4, r, 3B002.c, 3B993, 3B994, or associated software and
technology in ECCN 3D001, 3D002, 3D003, 3D992, 3D993, 3D994, 3E001,
3E992, 3E993, or 3E994 and is being exported, exported from abroad,
reexported, or transferred (in-country) to or within either Macau or a
destination specified in Country Group D:5 of supplement no. 1 to this
part, and the license exception is other than License Exception GOV,
restricted to eligibility under the provisions of Sec. 740.11(b).
(ii) The item is identified in paragraph (a)(9)(ii)(A) or (B) of
this section, is being exported, reexported, or transferred (in-
country) to or within a destination specified in Country Group D:1,
D:4, or D:5, excluding any destination also specified in Country Groups
A:5 or A:6, or to an entity headquartered in or whose ultimate parent
headquartered in, Macau or a destination specified in Country Group
D:5, wherever located, and the license exception is other than: TMP,
restricted to eligibility under the provisions of Sec. 740.9(a)(6);
NAC/ACA, under the provisions of Sec. 740.8; RPL, under the provisions
of Sec. 740.10; GOV, restricted to eligibility under the provisions of
Sec. 740.11(b); TSU under the provisions of Sec. 740.13(a) and (c);
or HBM under the provisions of Sec. 740.25. Items restricted to
eligibility only for the foregoing license exceptions are:
* * * * *
Note 1 to paragraph (a)(9)(ii): ECCN 3A090.c requires a license
for exports, reexports, and transfers (in-country) to or within
Macau or destinations specified in Country Group D:5, but is still
included within the scope of this paragraph because it generally
shares the same EAR license exception eligibility as other 3A090
commodities, except for NAC/ACA, under the provisions of Sec.
740.8., which ECCN 3A090.c is not eligible. An export, reexport, or
transfer (in-country) of an ECCN 3A090.c commodity to a destination
specified in Country Groups D:1 or D:4 that is not specified in
Country Group D:5, may be made under the No License Required (NLR)
designation, provided no part 744 or 746 license requirements are
applicable.
* * * * *
0
12. Section 740.8 is amended by revising paragraph (a) introductory
text to read as follows:
Sec. 740.8 Notified Advanced Computing (NAC) and Advanced Computing
Authorized (ACA).
(a) Eligibility requirements. License Exception NAC authorizes the
export and reexport of any item classified in ECCN 3A090 (except for
3A090.c), 4A090, 3A001.z, 4A003.z, 4A004.z, 4A005.z, 5A002.z, 5A004.z,
5A992.z, 5D002.z, or 5D992.z, except for items designed or marketed for
use in a datacenter and meeting the parameters of 3A090.a, to Macau and
Country Group D:5 or an entity headquartered in, or whose ultimate
parent headquartered in, Macau or a destination specified in Country
Group D:5, wherever located. License Exception ACA authorizes the
export, reexport, and transfer (in-country) of any item classified in
ECCN 3A090 (except for 3A090.c), 4A090, 3A001.z, 4A003.z, 4A004.z,
4A005.z, 5A002.z, 5A004.z, 5A992.z, 5D002.z, or 5D992.z, except for
items designed or marketed for use in a datacenter and meeting the
parameters of 3A090.a, to or within any destination specified in
Country Groups D:1 and D:4 (except Macau, a destination in Country
Group D:5, or an entity headquartered in, or whose ultimate parent
headquartered in, Macau or a destination specified in Country Group
D:5, wherever located), as well as transfers (in-country) within Macau
and destinations in Country Group D:5. These license exceptions may be
used provided the export, reexport, or transfer (in-country) meets all
of the applicable criteria identified under this paragraph (a) and none
of the restrictions in paragraph (b) of this section.
* * * * *
0
13. Sections 740.25 and 740.26 are added to read as follows:
Sec. 740.25 License Exception High Bandwidth Memory (HBM).
(a) Scope. This License Exception High Bandwidth Memory (HBM)
authorizes the export, reexport, or transfer (in-country) of items
specified in ECCN 3A090.c on the Commerce Control List (CCL) in
supplement no. 1 to part 774 if all terms and conditions within this
section are met.
(b) Exporter, reexporter, transferor. The exporter, reexporter, or
transferor must be headquartered in the United States or a destination
specified in Country Group A:5 of supplement no. 1 to this part,
without an ultimate parent headquartered in Macau or a destination
specified in Country Group D:5 of supplement no. 1 to this part.
(c) Conditions. The following exports, reexports, or transfers (in-
country) are only authorized under this License Exception HBM if the
3A090.c item has a memory bandwidth density less than 3.3 GB/s/
mm[supcaret]2 and both of the following conditions apply:
(1) The 3A090.c items exported, reexported, or transferred to or
within Macau or a destination specified in Country Group D:5 must be
directly purchased by the designer of the co-packaged commodity not
otherwise prohibited from receipt of the item; and
(2) The 3A090.c items must be exported, reexported, or transferred
(in-country) directly to the packaging site.
(i) For 3A090.c items exported, reexported, or transferred (in-
country) to a U.S., or Country Group A:5 or A:6-headquartered packaging
site without an ultimate parent headquartered in Macau or a destination
specified in Country Group D:5 of supplement no. 1 to part 740:
(A) The packaging site must confirm in writing to the producer of
the chips that the 3A090.c item was packaged and exported, reexported,
or transferred (in-country) to the specified designer of the co-
packaged commodity. This confirmation is considered an ``export control
document'' and is subject to recordkeeping requirements in part 762. A
copy of this record must be provided to BIS upon request; and
(B) The finished, co-packaged commodities must not exceed the
technical thresholds in ECCN 3A090, unless packaging the item is
permitted under the Temporary General License (TGL) specified in
paragraph (d) of General Order No. 4 in of supplement no. 1 to part
736.
(ii) For 3A090.c items exported, reexported, or transferred (in-
country) to any other packaging site, the finished, co-packaged
commodities must be sent back to the exporter, reexporter, or
transferor for export, reexport, or transfer (in-country) to the
purchaser:
(A) Upon receipt of the finished, co-packaged commodities, the
exporter, reexporter, transferor must confirm the number of 3A090.c
units contained within the finished, co-packaged chips received from
the packaging site matches the number of 3A090.c items exported,
reexported, or transferred (in-country) to the packaging site. This
confirmation is considered an ``export control document'' and is
subject to recordkeeping requirements in part 762. A copy of this
record must be provided to BIS upon request; and
(B) The finished, co-packaged commodities must not exceed the
technical thresholds in ECCN 3A090.a or 3A090.b.
[[Page 96815]]
(d) Restrictions. The following exports, reexports, or transfers
(in-country) of 3A090.c items are not authorized under this License
Exception HBM:
(1) To distributors.
(2) To intermediate consignees, unless hired by the packaging site
for freight forwarding or customs clearance.
(3) To co-packaging at a ``facility'' located in Macau or a
destination specified in Country Group D:5 where ``production'' of
``advanced-node ICs'' occurs.
(e) Reporting requirement. In the event that the exporter,
reexporter, or transferor identifies a discrepancy of greater than 1
percent between the number of 3A090.c units exported, reexported, or
transferred (in-country) to the packaging site and the number of
3A090.c units contained within the finished, co-packaged commodities
received from the packaging site under paragraph (c)(2)(ii) of this
section, this generates a `Red Flag' that must be resolved before
exporting, reexporting, or transferring (in-country) the finished, co-
packaged commodities to the designer of the co-packaged commodity or
engaging in any further exports, reexports, or transfers (in-country)
of 3A090.c items to the designer of the co-packaged commodity or
packaging site involved in the transaction that raised the Red Flag. If
the Red Flag cannot be resolved, then within 60 days of identifying the
discrepancy, the exporter, reexporter, or transferor must report the
information in paragraph (e)(1) to BIS consistent with the requirements
under paragraph (e)(2).
(1) Information required. (i) Date of shipment;
(ii) Quantity exported and quantity returned;
(iii) Name of Consignee or designer of the co-packaged commodity;
(iv) Name and address of the packaging site;
(v) End use; and
(vi) Explanation of measures already taken or planned to resolve
the Red Flag.
(2) Submission requirements. Reports must be provided in electronic
form. Recommended file formats for electronic submission include
spreadsheets, tabular text or structured text. Submitters may request
other reporting arrangements with BIS to better reflect their business
models. Reports are to be sent electronically to BIS at the email
address: [email protected] with the email subject line Attn: LE
HBM Discrepancy Reports.
Sec. 740.26 License Exception Restricted Fabrication ``Facility''
(RFF).
(a) Scope. License Exception Restricted Fabrication ``Facility''
(RFF) authorizes the export, reexport, export from abroad, and transfer
(in-country) of items not specified in ECCNs 3B001, 3B002, 3B993,
3B994, 3D992, 3D993, 3D994, 3E992, 3E993, or 3E994. Additionally, this
license exception does not overcome destination-based license
requirements in part 742, end-use based license requirements in other
sections of part 744, or license requirements that apply to other
entities on the Entity List if other listed entities that are not
eligible for this license exception are a party to the transaction.
(b) Definition. A restricted fabrication ``facility'' is an entity
that is on the Entity List in supplement no. 4 to part 744 of the EAR
that has a reference to Sec. 740.26 in the license requirement column.
(c) Restrictions. License Exception RFF is subject to the following
restrictions.
(1) Items may not be used for the operation, installation,
maintenance, repair, overhaul, or refurbishing of items specified in
ECCNs 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c, 3B993,
or 3B994 at a `restricted fabrication facility'.
(2) The item may not be used to produce ``advanced-node integrated
circuits.''
(d) Notification requirements. Notifications must be sent to
[email protected]. Subject line `LE RFF Notification pursuant to
(d)(1)' for notifications required under (d)(1) and with the subject
line `LE RFF Notification pursuant to (d)(2)' for notifications
required under (d)(2) of this section.
(1) 45-Days Prior. 45 days prior to exporting, reexporting,
exporting from abroad, or transferring (in-country) items eligible
under this license exception, a notification must be sent to BIS that
includes the end-user's name and address, description of item(s),
purchase price, and anticipated shipping date of shipment.
(2) Within one business day. If you gain ``knowledge'' that the end
use has changed to ``advance-node integrated circuit'' ``production,''
you must notify BIS within one business day.
(e) Reporting requirements. Reports must be provided in electronic
form. Recommended file formats for electronic submission include
spreadsheets, tabular text, or structured text. Submitters may request
other reporting arrangements with BIS to better reflect their business
models. Reports are to be sent electronically to BIS at the email
address: [email protected]. Subject line Attn: LE RFF Installation
Reports for paragraph (e)(1) or Attn: LE RFF Annual Confirmation Report
for paragraph (e)(2) of this section.
(1) Installation. Within 30 days of installation of semiconductor
manufacturing equipment, you must submit a report to BIS that includes
the end-user's name and address, description of equipment that was
installed, and date of installation. This email should reference
previous notifications sent to BIS, including notifications.
(2) Annual end-use confirmation. On February 1 of each year that
the exporter, reexporter, or transferor is continuing to provide
service or for at least for five years from the date of last service,
you must submit a report to BIS that you have confirmed that the
installed semiconductor manufacturing equipment is not being used in
the production of ``advanced-node integrated circuits.''
* * * * *
PART 742--CONTROL POLICY--CCL BASED CONTROLS
0
14. The authority citation for part 742 continues to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; 22 U.S.C. 3201 et seq.; 42 U.S.C. 2139a; 22
U.S.C. 7201 et seq.; 22 U.S.C. 7210; Sec. 1503, Pub. L. 108-11, 117
Stat. 559; E.O. 12058, 43 FR 20947, 3 CFR, 1978 Comp., p. 179; E.O.
12851, 58 FR 33181, 3 CFR, 1993 Comp., p. 608; E.O. 12938, 59 FR
59099, 3 CFR, 1994 Comp., p. 950; E.O. 13026, 61 FR 58767, 3 CFR,
1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p.
783; Presidential Determination 2003-23, 68 FR 26459, 3 CFR, 2004
Comp., p. 320; Notice of November 7, 2024, 89 FR 88867 (November 8,
2024).
0
15. Section 742.4 is amended by:
(a) Revising paragraph (a)(4);
(b) Redesignating paragraphs (a)(5)(ii)(B)(i) and (ii) as
paragraphs (a)(5)(ii)(B)(1) and (2); and
(c) Revising paragraph (b)(2).
The revisions read as follows:
Sec. 742.4 National security.
(a) * * *
(4) Certain semiconductor manufacturing equipment and associated
software and technology--(i) Scope. A license is required for exports,
reexports, exports from abroad, and transfers (in-country) to or within
either Macau or a destination specified in Country Group D:5 in
supplement no. 1 to part 740 of the EAR of items specified in
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c, 3D992, or
3E992.
[[Page 96816]]
(ii) Exclusions. The license requirements in this paragraph (a)(4)
do not apply to any of the following:
(A) Deemed exports or deemed reexports.
(B) An item subject to the EAR pursuant to Sec. Sec. 734.4(a)(8)
or 734.9(k) if the item is reexported or exported from abroad by an
entity located in a country specified in supplement no. 4 to this part,
and the entity is not headquartered or have an ultimate parent company
headquartered in either Macau or a destination specified in Country
Group D:5.
(C) An item subject to the EAR pursuant to Sec. Sec. 734.4(a)(8)
or 734.9(k) if the item is reexported or exported from abroad by an
entity located in a country that has implemented equivalent controls
for items specified in paragraph (a)(4)(i) of this section, and the
entity is not headquartered or have an ultimate parent company
headquartered in either Macau or a destination specified in Country
Group D:5. For the purposes of this paragraph, equivalent means the
item is listed on the country's export control list and the country
applies the same license review policy. To receive assistance in
determining countries with equivalent controls, you may submit an
advisory opinion in accordance with Sec. 748.3(c) of the EAR.
* * * * *
(b) * * *
(2) License applications for items specified in paragraph (a)(4) of
this section will be reviewed consistent with license review policies
in Sec. 744.23(d) of the EAR. However, if Sec. 744.23 does not apply,
applications will be reviewed on a case-by-case basis if no license
would be required under part 744 of the EAR. applications will be
reviewed on a case-by-case basis if no license would be required under
part 744 of the EAR.
* * * * *
0
16. Section 742.6 is amended by:
0
a. Revising paragraphs (a)(6)(i) and (a)(6)(iii);
0
c. Adding paragraph (a)(11);
0
d. Revising paragraph (b)(10); and
0
e. Adding paragraph (b)(12).
The additions and revisions read as follows:
Sec. 742.6 Regional stability.
(a) * * *
(6) RS requirement that applies to advanced computing and
semiconductor manufacturing items--(i) Exports, reexports, transfers
(in-country) to or within Macau or Country Group D:5--(A) Certain
semiconductor manufacturing equipment and associated software and
technology--(1) Scope. A license is required for exports, reexports,
and transfers (in-country) to or within either Macau or a destination
specified in Country Group D:5 in supplement no. 1 to part 740 of the
EAR of items specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4,
r, 3B002.c, 3D992, or 3E992.
(2) Exclusions. The license requirements in this paragraph
(a)(6)(i)(A) do not apply to any of the following:
(i) Deemed exports or deemed reexports.
(ii) An item subject to the EAR pursuant to Sec. Sec. 734.4(a)(8)
or 734.9(k) if the item is reexported or exported from abroad by an
entity located in a country specified in supplement. no. 4 to this
part, and the entity is not headquartered or have an ultimate parent
company headquartered in Country Group D:5 or Macau.
(iii) An item subject to the EAR pursuant to Sec. Sec. 734.4(a)(8)
or 734.9(k) if the item is reexported or exported from abroad by an
entity located in a country that has implemented equivalent controls
for items specified in paragraph (a)(6)(i)(A)(1) of this section, and
the entity is not headquartered or have an ultimate parent company
headquartered in Country Group D:5 or Macau. For the purposes of this
paragraph, `equivalent' means the item is listed on the country's
export control list and the country applies the same license review
policy. To receive assistance in determining countries with equivalent
controls, you may submit an advisory opinion request in accordance with
Sec. 748.3(c) of the EAR.
(B) High Bandwidth Memory (HBM). A license is required for items
specified in ECCNs 3A090.c, 3D001 (for 3A090.c), and 3E001 (for
3A090.c) when exported, reexported, or transferred (in-country) to or
within Macau or a destination specified in Country Group D:5 in
supplement no. 1 to part 740 of the EAR. The license requirements in
this paragraph (a)(6)(i)(B) do not apply to deemed exports or deemed
reexports.
* * * * *
(iii) Exports, reexports, transfers (in-country) to or within
destinations specified in Country Groups D:1, D:4, and D:5, excluding
destinations also specified in Country Groups A:5 or A:6. A license is
required for items specified in ECCNs 3A001.z; 3A090 (except for
3A090.c); 3D001 (for ``software'' for commodities controlled by
3A001.z, 3A090 (except for 3A090.c)); 3E001 (for ``technology'' for
commodities controlled by 3A001.z, 3A090 (except for 3A090.c));
4A003.z; 4A004.z; 4A005.z; 4A090; 4D001 (for ``software'' for
commodities controlled by 4A003.z, 4A004.z, and 4A005.z); 4D090 (for
``software'' for commodities controlled by 4A090); 4E001 (for
``technology'' for commodities controlled by 4A003.z, 4A004.z, 4A005.z,
4A090 or ``software'' specified by 4D001 (for 4A003.z, 4A004.z, and
4A005.z), 4D090 (for ``software'' for commodities controlled by
4A090)); 5A002.z; 5A004.z; 5A992.z; 5D002.z; 5D992.z; 5E002 (for
``technology'' for commodities controlled by 5A002.z or 5A004.z or
``software'' specified by 5D002 (for 5A002.z or 5A004.z commodities));
or 5E992 (for ``technology'' for commodities controlled by 5A992.z or
``software'' controlled by 5D992.z) being exported, reexported, or
transferred (in-country) to or within a destination specified in
Country Groups D:1, D:4, and D:5, excluding destinations also specified
in Country Groups A:5 or A:6, in supplement no. 1 to part 740 of the
EAR.
* * * * *
(11) License requirement for ECCN 3B993, 3B994, 3D993, 3D994,
3E993, and 3E994. A license is required for the export, reexport, or
transfer (in-country) of items specified in ECCN 3B993, 3B994, 3D993,
3D994, 3E993, or 3E994 to a Footnote 5 designated entity listed on the
Entity List in supplement no. 4 to part 744 of the EAR when the item is
not subject to the EA pursuant to Sec. Sec. 734.4(a)(9) or 734.9(e)(3)
of the EAR. See Sec. 744.11(a)(2)(v)(a) for license requirements for
these items when subject to the EAR pursuant to Sec. Sec. 734.4(a)(9)
and 734.9(e)(3) of the EAR.
(b) * * *
(10) Advanced computing and semiconductor manufacturing items--(i)
License review policy for paragraphs (a)(6)(i)(A) and (ii) of this
section. License applications for items specified in paragraphs
(a)(6)(i)(A) and (ii) of this section will be reviewed consistent with
license review policies in Sec. 744.23(d) of the EAR. However, if
Sec. 744.23 does not apply, applications will be reviewed on a case-
by-case basis if no license would be required under part 744 of the
EAR.
(ii) License review policy for paragraph (a)(6)(i)(B) of this
section (for 3A090.c). There is a presumption of approval review policy
for license applications for items specified in paragraph (a)(6)(i)(B)
to or within Macau or a destination specified in Country Group D:5 in
supplement no. 1 to part 740 of the EAR for entities neither
headquartered in nor whose ultimate parent company is headquartered in
either Macau or a destination specified in Country Group D:5. There is
a
[[Page 96817]]
presumption of denial policy for all other license applications.
(iii) License review policy for paragraph (a)(6)(iii) of this
section. (A) License applications will be reviewed under a presumption
of approval for export, reexport, or transfer (in-country) of items
specified in paragraph (a)(6)(iii) to or within destinations other than
Country Group D:5 or Macau or to entities not headquartered in or whose
ultimate parent company is not headquartered in destinations in Country
Group D:5 or Macau. (B) License applications will be reviewed under a
presumption of denial for the export, reexport, or transfer (in-
country) of items specified in paragraph (a)(6)(iii) of this section to
or within destinations in Country Group D:5 or Macau, or to an entity
headquartered in or whose ultimate parent company is headquartered in a
destination in Country Group D:5 or Macau, unless either of the
following apply, in which case they will be subject to a case-by-case
license review policy if either of the following apply:
(1) The commodity meets the parameters of 3A090.a and is not
designed or marketed for use in a datacenter; or
(2) The commodity meets the parameters of 3A090.b and is designed
or marketed for use in a datacenter.
* * * * *
(12) License review policy for paragraph (a)(11). The license
review policy for items subject to a license pursuant to paragraph
(a)(11) of this section will be reviewed as specified in the license
review policy column for the listed entity. See also Sec.
744.11(a)(2)(v) of the EAR.
* * * * *
0
17. Supplement no. 4 to part 742 is added to read as follows:
Supplement No. 4 to Part 742--Countries Excluded From Certain
Semiconductor Manufacturing Equipment License Requirements
Australia
Austria
Belgium
Bulgaria
Canada
Croatia
Czech Republic
Denmark
Estonia
Finland
France
Germany
Greece
Hungary
Iceland
Ireland
Italy
Japan
Latvia
Lithuania
Luxembourg
Netherlands
New Zealand
Norway
Poland
Portugal
Romania
Slovakia
Slovenia
Spain
Sweden
Switzerland
United Kingdom
* * * * *
PART 744--CONTROL POLICY: END-USER AND END-USE BASED
0
18. The authority citation for part 744 is revised to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; 22 U.S.C. 3201 et seq.; 42 U.S.C. 2139a; 22
U.S.C. 7201 et seq.; 22 U.S.C. 7210; E.O. 12058, 43 FR 20947, 3 CFR,
1978 Comp., p. 179; E.O. 12851, 58 FR 33181, 3 CFR, 1993 Comp., p.
608; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13026,
61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13099, 63 FR 45167, 3
CFR, 1998 Comp., p. 208; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp.,
p. 783; E.O. 13224, 66 FR 49079, 3 CFR, 2001 Comp., p. 786; Notice
of September 18, 2024, 89 FR 77011 (September 20, 2024); Notice of
November 7, 2024, 89 FR 88867 (November 8, 2024).
0
19. Section 744.6 is amended by revising paragraph (c)(2)(iii) to read
as follows:
Sec. 744.6 Restrictions on specific activities of ``U.S. persons.''
* * * * *
(c) * * *
(2) * * *
(iii) Semiconductor manufacturing equipment. To or within either
Macau or a destination specified in Country Group D:5, any item not
subject to the EAR and meeting the parameters of ECCNs 3B001.a.4, c, d,
f.1, f.5, k to n, p.2, p.4, r, 3B002.c, 3D992, or 3E992 regardless of
end use or end user.
* * * * *
0
20. Section 744.11 is amended by adding paragraph (a)(2)(v), to read as
follows:
Sec. 744.11 License requirements that apply to entities acting or at
significant risk of acting contrary to the national security or foreign
policy interests of the United States.
* * * * *
(a) * * *
(2) * * *
(v) Footnote 5 entities--(A) License requirement. You may not,
without a license, reexport, export from abroad, or transfer (in-
country) to or within any destination or to any end user or party any
foreign-produced item subject to the EAR pursuant to Sec. Sec.
734.4(a)(9) or 734.9(e)(3), for each of the following paragraphs (A)(1)
through (A)(4):
(1) Exports from abroad or reexports from all countries. A license
is required for commodities specified in ECCN 3B993 when exported from
abroad or reexported by an entity headquartered in, or whose ultimate
parent company is headquartered in, Macau or a destination specified in
Country Group D:5.
(2) Exports from abroad or reexports from countries in Country
Group A:5 that are not in supplement no. 4 to part 742. A license is
required for the export from abroad or reexport from countries
specified in Country Group A:5 that are not in supplement no. 4 to part
742 of commodities specified in ECCN 3B993 if the commodity is not
subject to equivalent controls by the relevant country.
(3) Exports from abroad or reexports from all countries not listed
in Country Group A:5. Exports from abroad or reexports from all
countries not listed in Country Group A:5, as follows:
(i) A license is required for commodities specified in ECCNs 3B001
(except 3B001.a.4, c, d, f.1, f.5, g, h, k to n, p.2, p.4, r), 3B002
(except 3B002.c), 3B611, 3B903, 3B991 (except 3B991.b.2.a through
3B991.b.2.b), 3B992, 3B993, or 3B994 by an entity that is headquartered
or whose ultimate parent company is headquartered in a country not
specified in supplement no. 4 to part 742.
(ii) A license is required for commodities specified in ECCN 3B993
by an entity headquartered or whose ultimate parent company is
headquartered in a country specified in supplement no. 4 to part 742 of
this section.
(4) Transfers (in-country). The commodity is to be transferred
within the country of the entity specified by Sec. 734.9(e)(3)(ii):
(i) By an entity whose ultimate parent company is headquartered in
a country not described in supplement no. 4 to part 742 and the entity
is transferring a commodity specified in ECCNs 3B001 (except 3B001.a.4,
c, d, f.1, f.5, g, h, k to n, p.2, p.4, or r), 3B002 (except 3B002.c),
3B611, 3B903, 3B991 (except 3B991.b.2.a through 3B991.b.2.b), 3B992,
3B993, or 3B994; or
(ii) By an entity whose ultimate parent company is headquartered in
a country described in supplement no. 4 to part 742 destination and the
entity is transferring a commodity specified in ECCN 3B993.
(B) License review policy. The license review policy is set forth
in the entry of
[[Page 96818]]
the Entity List in supplement no. 4 to this part for each entity with a
Footnote 5 designation. Unless otherwise stated in the license review
policy column of the specific entity, there is a case-by-case license
review policy for items subject to the license requirements of this
section where there is a foreign-made item that is not subject to the
license requirements of this section and performs the same function as
an item subject to the EAR license requirements of this section.
* * * * *
0
21. Section 744.23 is amended by:
0
a. Adding paragraph (a)(2)(iii) and (iv); and
0
b. Revising paragraphs (a)(3)(i), (a)(3)(ii) introductory text,
(a)(3)(ii)(D). and (a)(4).
The additions and revisions read as follows:
Sec. 744.23 ``Supercomputer,'' ``advanced-node integrated circuits,''
and semiconductor manufacturing equipment end use controls.
* * * * *
(a) * * *
(2) * * *
(iii) Design of ``advanced-node ICs''. Any Electronic Computer
Aided Design (ECAD) or Technology Computer Aided Design (TCAD)
``software'' and ``technology'' subject to the EAR when you ``know'' it
will be used in the design of an ``advanced-node integrated circuit''
that will be ``produced'' in Macau or a destination specified in
Country Group D:5 in supplement no. 1 to part 740 of the EAR.
(iv) ``Advanced Node IC'' exclusion. Items specified in paragraphs
(a)(2)(i) and (ii) destined to entities designated with a Footnote 5
are not subject to the license requirements in this section.
(3) * * *
(i) ECCNs 3A090, 4A090, and .z items destined to entities
headquartered in, or whose ultimate parent company is headquartered in,
either Macau or a destination specified in Country Group D:5 in certain
destinations.
(A) Any item subject to the EAR and specified in ECCNs 3A001.z,
3A090 (except for 3A090.c), 4A003.z, 4A004.z, 4A005.z, 4A090, 5A002.z,
5A004.z, 5A992.z, 5D002.z, or 5D992.z destined to any destination other
than those specified in Country Groups D:1, D:4, or D:5 (excluding any
destination also specified in Country Groups A:5 or A:6) for an entity
that is headquartered in, or whose ultimate parent company is
headquartered in, either Macau or a destination specified in Country
Group D:5 (e.g., a PRC-headquartered cloud or data server provider
located outside of Country Groups D:1, D:4, or D:5 (excluding any
destination also specified in Country Groups A:5 or A:6)), or
(B) Any item subject to the EAR and specified in ECCN 3A090.c
destined to any destination other than Macau or those specified in
Country Group D:5, for an entity that is headquartered in, or whose
ultimate parent company is headquartered in, either Macau or a
destination specified in Country Group D:5.
(ii) ECCN 3E001 (for 3A090, except for 3A090.c) ``technology'' when
it meets all of the following:
* * * * *
(D) The ``technology'' is for the ``production'' of commodities or
software specified in ECCN 3A001.z, 3A090 (except for 3A090.c),
4A003.z, 4A004.z, 4A005.z, 4A090, 5A002.z, 5A004.z, or 5A992.z.
(4) Semiconductor manufacturing equipment (SME) and ``components,''
``assemblies,'' and ``accessories.'' A license is required for export,
reexport, or transfer (in-country) if paragraph (a)(4)(i) or (ii) of
this section applies.
(i) Directly destined to Macau and Country Group D:5. Any item
subject to the EAR and specified on the CCL when destined to or within
either Macau or a destination specified in Country Group D:5 for the
``development'' or ``production'' of ``equipment,'' ``components,''
``assemblies,'' or ``accessories'' specified in ECCNs 3B001 (except
3B001.g and .h), 3B002, 3B611, 3B903, 3B991 (except 3B991.b.2.a through
3B991.b.2.b), 3B992, 3B993, 3B994 or associated ``software'' and
``technology'' in 3D or 3E of the CCL.
(ii) Indirect exports, reexports, or transfers (in-country). Any
item subject to the EAR and specified on the CCL for export, reexport,
or transfer (in-country), if all of the following apply:
(A) The item (either in its original form or as subsequently
incorporated into a foreign-made item) is for ``development'' or
``production'' of a foreign-made item, whether subject to the EAR or
not, that is specified in an ECCN listed in paragraph (a)(4)(i) of this
section (and not excepted by that paragraph); and
(B) The ``development'' or ``production'' is by an entity
headquartered in, or whose ultimate parent is headquartered in, Macau
or a destination specified in Country Group D:5.
Note 1 to paragraph (a)(4): For transactions involving
``development'' or ``production'' in Macau or a destination
specified in Country Group D:5 by an entity that is headquartered in
Macau or a destination specified in Country Group D:5, but the
``development'' or ``production'' is undertaken at the direction of
an entity headquartered in the United States or a destination
specified in Country Group A:5 or A:6, refer to General Order No. 4
in supp. no. 1 to part 736 (Temporary General License--Less
restricted SME ``parts,'' ``components,'' or ``equipment'').
* * * * *
PART 758--EXPORT CLEARANCE REQUIREMENTS
0
22. The authority citation for part 758 continues to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p.
783.
0
23. Section 758.6 is amended by revising paragraph (a)(2) to read as
follows:
Sec. 758.6 Destination control statement and other information
furnished to consignees.
(a) * * *
(2) The ECCN(s) for any 3A001.z, 3A090, 4A003.z, 4A004.z, 4A005.z,
4A090, 5A002.z, 5A004.z, 5A992.z, 9x515 or ``600 series'' ``items''
being shipped (i.e., exported in tangible form). For the seven ECCNs
with a .z paragraph, the requirement to include the classification only
applies to commodities specified under the .z paragraphs. If the
commodity is specified under any other paragraph in one of those seven
ECCNs, then the requirement under this paragraph is not applicable. For
ECCN 3A090, identify the commodity as either 3A090.a, .b, or .c.
* * * * *
PART 762--RECORDKEEPING
0
24. The authority citation for part 762 continues to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p.
783.
0
25. Section 762.2 is amended by adding paragraph (b)(56) and (57) to
read as follows:
Sec. 762.2 Records to be retained.
* * * * *
(b) * * *
(56) Sec. 740.25, License Exception HBM.
(57) Sec. 740.26, License Exception RFF.
* * * * *
PART 770--INTERPRETATIONS
0
26. The authority citation for part 770 continues to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; E.O.
[[Page 96819]]
13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.
0
27. Section 770.2 is amended by revising paragraph (o)(2)(i) to read as
follows:
Sec. 770.2 Item interpretations.
* * * * *
(o) * * *
(2) * * *
(i) Any utilized existing commercial ``software'' or ``technology''
specified under ECCNs 3D991, 3D992, 3D993, 3E001, 3E991, 3E992, 3E993,
9D515.d, 9D515.e, 9E515.d or 9E515.e does not meet the ``required''
standard (as defined in part 772 of the EAR) of any other ECCN on the
CCL; and
Note 1 to paragraph (o)(2)(i): The use of existing commercial
``software'' or ``technology'' by or for the USG for the purposes
described in paragraph (o)(1) of this section does not, in and of
itself, establish the ``required'' standard to meet the
specifications of any ECCN on the CCL.
* * * * *
PART 772--DEFINITIONS OF TERMS
0
28. The authority citation for part 772 continues to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p.
783.
0
29. Section 772.1 is amended by revising the definitions for ``access
information'' and ``Advanced-Node Integrated Circuit'' to read as
follows:
Sec. 772.1 Definitions of terms as used in the Export Administration
Regulations (EAR).
* * * * *
Access information. For purposes of Sec. 734.19(a), information
that allows access to encrypted technology or encrypted software in an
unencrypted form. Examples include decryption keys, network access
codes, and passwords.
* * * * *
Advanced-Node Integrated Circuits (Advanced-Node IC). For parts 734
and 744 of the EAR, ``advanced-node integrated circuits'' include
integrated circuits that meet any of the following criteria:
(1) Logic integrated circuits using a non-planar transistor
architecture or with a ``production'' `technology node' of 16/14
nanometers or less;
(2) NOT AND (NAND) memory integrated circuits with 128 layers or
more; or
(3) Dynamic random-access memory (DRAM) integrated circuits having:
(i) A memory cell area of less than 0.0019 [micro]m\2\; or
(ii) A memory density greater than 0.288 gigabits per square
millimeter.
Note 1 to definition of ``Advanced-Node Integrated Circuits'':
For the purposes of paragraph (1) of this definition, the term
technology node refers to the Logic Industry ``Node Range'' figure
described in the International Roadmap for Devices and Systems, 2016
edition (``More Moore'' White Paper), available at: https://irds.ieee.org/images/files/pdf/2016_MM.pdf.
Note 2 to definition of ``Advanced-Node Integrated Circuits'':
For the purposes of paragraph (3) of this definition, the term
memory density refers to the capacity of the package or stack
comprising the DRAM integrated circuit measured in gigabytes divided
by the footprint of the package or stack measured in square
millimeters. In the case where a stack is contained in a package,
use the area of the package. Cell area is defined as
Wordline*Bitline (which takes into consideration both transistor and
capacitor dimensions).
* * * * *
PART 774--THE COMMERCE CONTROL LIST
0
30. The authority citation for part 774 continues to read as follows:
Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50
U.S.C. 1701 et seq.; 10 U.S.C. 8720; 10 U.S.C. 8730(e); 22 U.S.C.
287c, 22 U.S.C. 3201 et seq.; 22 U.S.C. 6004; 42 U.S.C. 2139a; 15
U.S.C. 1824; 50 U.S.C. 4305; 22 U.S.C. 7201 et seq.; 22 U.S.C. 7210;
E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66
FR 44025, 3 CFR, 2001 Comp., p. 783.
0
31. Supplement no. 1 to part 774 is amended by:
0
a. Revising ECCNs 3A090, 3B001, 3B002, 3B991, and 3B992;
0
b. Adding ECCNs 3B993 and 3B994;
0
c. Revising ECCNs 3D001 and 3D002;
0
d. Adding ECCNs 3D992, 3D993, 3D994;
0
e. Revising ECCN 3E001; and
0
b. Adding ECCNs 3E992, 3E993, and 3E994.
The additions and revisions read as follows:
Supplement No. 1 to Part 774--the Commerce Control List
* * * * *
3A090 Integrated circuits as follows (see List of Items Controlled).
License Requirements
Reason for Control: RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
RS applies to entire entry, except 3A090.c To or within destinations
specified in Country Groups
D:1, D:4, and D:5 of
supplement no. 1 to part
740 of the EAR, excluding
any destination also
specified in Country Groups
A:5 or A:6. See Sec.
742.6(a)(6)(iii) of the
EAR.
RS applies to 3A090.c..................... To or within Macau or a
destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.6(a)(6)(i)(B) of the
EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
LVS: N/A
GBS: N/A
NAC/ACA: Yes, for 3A090.a, if the item is not designed or marketed
for use in datacenters and has a 'total processing performance' of
4800 or more; yes, for 3A090.b, if the item is designed or marketed
for use in datacenters. N/A for 3A090.c.
HBM: Yes, for 3A090.c. See Sec. 740.25 of the EAR.
List of Items Controlled
Related Controls: (1) See ECCNs 3D001, 3E001, 5D002.z, and 5D992.z
for associated technology and software controls. (2) See ECCNs
3A001.z, 5A002.z, 5A004.z, and 5A992.z.
Related Definitions: N/A
Items:
a. Integrated circuits having one or more digital processing
units having either of the following:
a.1. A `total processing performance' of 4800 or more, or
a.2. A `total processing performance' of 1600 or more and a
`performance density' of 5.92 or more.
b. Integrated circuits having one or more digital processing
units having either of the following:
b.1. A 'total processing performance' of 2400 or more and less
than 4800 and a 'performance density' of 1.6 or more and less than
5.92, or
b.2. A `total processing performance' of 1600 or more and a
`performance density' of 3.2 or more and less than 5.92.
Note 1 to 3A090.a and 3A090.b: 3A090.a and 3A090.b do not apply
to items that are not designed or marketed for use in datacenters
and do not have a `total processing performance' of 4800 or more.
For 3A090.a and 3A090.b items that are not designed or marketed for
use in datacenters and that have a `total processing
[[Page 96820]]
performance' of 4800 or more, see license exceptions NAC and ACA.
Note 2 to 3A090.a and 3A090.b: Integrated circuits specified by
3A090 include graphical processing units (GPUs), tensor processing
units (TPUs), neural processors, in-memory processors, vision
processors, text processors, co-processors/accelerators, adaptive
processors, field-programmable logic devices (FPLDs), and
application-specific integrated circuits (ASICs). Examples of
integrated circuits are in the Note to 3A001.a.
Note 3 to 3A090.a and 3A090.b: For integrated circuits (ICs)
that are excluded from ECCN 3A090 under Note 2 or 3 to 3A090, those
ICs are also not applicable for classifications made under ECCNs
3A001.z, 4A003.z, 4A004.z, 4A005.z, 4A090, 5A002.z, 5A004.z,
5A992.z, 5D002.z, or 5D992.z because those other CCL classifications
are based on the incorporation of an integrated circuit (IC) that
meets the control parameters under ECCN 3A090 or otherwise meets or
exceeds the control parameters or ECCNs 3A090 or 4A090. The
performance parameters under ECCN 3A090.c are not used for
determining whether an item is classified in a .z ECCN. See the
Related Controls paragraphs of ECCNs 3A001.z, 4A003.z, 4A004.z,
4A005.z, 4A090, 5A002.z, 5A004.z, 5A992.z, 5D002.z, or 5D992.z.
Technical Notes to 3A090.a and 3A090.b: 1. `Total processing
performance' (`TPP') is 2 x `MacTOPS' x `bit length of the
operation', aggregated over all processing units on the integrated
circuit.
a. For purposes of 3A090, `MacTOPS' is the theoretical peak
number of Tera (10\12\) operations per second for multiply-
accumulate computation (D = A x B + C).
b. The 2 in the `TPP' formula is based on industry convention of
counting one multiply-accumulate computation, D = A x B + C, as 2
operations for purpose of datasheets. Therefore, 2 x MacTOPS may
correspond to the reported TOPS or FLOPS on a datasheet.
c. For purposes of 3A090, `bit length of the operation' for a
multiply-accumulate computation is the largest bit-length of the
inputs to the multiply operation.
d. Aggregate the TPPs for each processing unit on the integrated
circuit to arrive at a total. 'TPP' = TPP1 + TPP2 + . . . . + TPPn
(where n is the number or processing units on the integrated
circuit).
2. The rate of `MacTOPS' is to be calculated at its maximum
value theoretically possible. The rate of `MacTOPS' is assumed to be
the highest value the manufacturer claims in annual or brochure for
the integrated circuit. For example, the `TPP' threshold of 4800 can
be met with 600 tera integer operations (or 2 x 300 `MacTOPS') at 8
bits or 300 tera FLOPS (or 2 x 150 `MacTOPS') at 16 bits. If the
integrated circuit (IC) is designed for MAC computation with
multiple bit lengths that achieve different `TPP' values, the
highest `TPP' value should be evaluated against parameters in 3A090.
3. For integrated circuits specified by 3A090 that provide
processing of both sparse and dense matrices, the `TPP' values are
the values for processing of dense matrices (e.g., without
sparsity).
4. `Performance density' is `TPP' divided by `applicable die
area'. For purposes of 3A090, `applicable die area' is measured in
millimeters squared and includes all die area of logic dies
manufactured with a process node that uses a non-planar transistor
architecture.
c. High bandwidth memory (HBM) having a `memory bandwidth
density' greater than 2 gigabytes per second per square millimeter.
Technical note to 3A090.c: `Memory bandwidth density' is the
memory bandwidth measured in gigabytes per second divided by the
area of the package or stack measured in square millimeters. In the
case where a stack is contained in a package, use the memory
bandwidth of the packaged device and the area of the package. High
bandwidth memory (HBM) includes dynamic random access memory
integrated circuits, regardless of whether they conform to the JEDEC
standards for high bandwidth memory, provided they have a `memory
bandwidth density' greater than 2 gigabytes per second per square
millimeter. This control does not cover co-packaged integrated
circuits with both HBM and logic integrated circuit where the
dominant function of the co-packaged integrated circuit is
processing. It does include HBM permanently affixed to a logic
integrated circuit designed as a control interface and incorporating
a physical layer (PHY) function.
* * * * *
3B001 Equipment for the manufacturing of semiconductor devices,
materials, or related equipment, as follows (see List of Items
Controlled) and ``specially designed'' ``components'' and
``accessories'' therefor.
License Requirements
Reason for Control: NS, RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
NS applies to 3B001.c.1.a, 3B001.c.1.c, Worldwide control. See Sec.
and 3B001.q. 742.4(a)(5) and (b)(10) of
the EAR.
RS applies to 3B001.c.1.a, 3B001.c.1.c, Worldwide control. See Sec.
and 3B001.q. 742.6(a)(10) and (b)(11)
of the EAR.
NS applies to 3B001.a.1 to a.3, b, e, f.2 NS Column 2.
to f.4, g to j.
NS applies to 3B001.a.4, c, d, f.1, f.5, k To or within Macau or a
to n, p.2, p.4, r. destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.4(a)(4) of the EAR.
RS applies to 3B001.a.4, c, d, f.1, f.5, k To or within Macau or a
to n, p.2, p.4, r. destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.6(a)(6) of the EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
LVS: $500, except semiconductor manufacturing equipment specified in
3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r.
GBS: Yes, except a.3 (molecular beam epitaxial growth equipment
using gas sources), c.1.a (Equipment designed or modified for
isotropic dry etching), c.1.c (Equipment designed or modified for
anisotropic dry etching), .e (automatic loading multi-chamber
central wafer handling systems only if connected to equipment
controlled by 3B001.a.3, or .f), .f (lithography equipment) and .q
(``EUV'' masks and reticles designed for integrated circuits, not
specified by 3B001.g, and having a mask ``substrate blank''
specified by 3B001.j).
IEC: Yes, for 3B001.c.1.a, c.1.c, and .q, see Sec. 740.2(a)(22) and
Sec. 740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be used to ship 3B001.c.1.a,
c.1.c, and .q to any of the destinations listed in Country Group A:5
or A:6 (See supplement no. 1 to part 740 of the EAR).
List of Items Controlled
Related Controls: See also 3B903 and 3B991. See ECCNs 3D001, 3D992,
3E001, and 3E992 for related ``software'' and ``technology''
controls.
Related Definitions: N/A
Items:
a. Equipment designed for epitaxial growth as follows:
a.1. Equipment designed or modified to produce a layer of any
material other than silicon with a thickness uniform to less than
2.5% across a distance of 75 mm or more;
Note: 3B001.a.1 includes atomic layer epitaxy (ALE) equipment.
a.2. Metal Organic Chemical Vapor Deposition (MOCVD) reactors
designed for compound semiconductor epitaxial growth of material
having two or more of the following elements: aluminum, gallium,
indium, arsenic, phosphorus, antimony, oxygen, or nitrogen;
a.3. Molecular beam epitaxial growth equipment using gas or
solid sources;
a.4. Equipment designed for epitaxial growth of silicon (Si) or
silicon germanium (SiGe), and having all of the following:
a.4.a. At least one preclean chamber designed to provide a
surface preparation means to clean the surface of the wafer; and
[[Page 96821]]
a.4.b. An epitaxial deposition chamber designed to operate at a
temperature equal to or below 958 K (685 [deg]C).
b. Semiconductor wafer fabrication equipment designed for ion
implantation and having any of the following:
b.1. [Reserved]
b.2. Being designed and optimized to operate at a beam energy of
20 keV or more and a beam current of 10 mA or more for hydrogen,
deuterium, or helium implant;
b.3. Direct write capability;
b.4. A beam energy of 65 keV or more and a beam current of 45 mA
or more for high energy oxygen implant into a heated semiconductor
material ``substrate''; or
b.5. Being designed and optimized to operate at beam energy of
20 keV or more and a beam current of 10mA or more for silicon
implant into a semiconductor material ``substrate'' heated to 600
[deg]C or greater;
c. Etch equipment.
c.1. Equipment designed for dry etching as follows:
c.1.a. Equipment designed or modified for isotropic dry etching,
having a largest `silicon germanium-to-silicon (SiGe:Si) etch
selectivity' of greater than or equal to 100:1; or
c.1.b. [Reserved]
c.1.c. Equipment designed or modified for anisotropic dry
etching, having all of the following;
c.1.c.1. Radio Frequency (RF) power source(s) with at least one
pulsed RF output;
c.1.c.2. One or more fast gas switching valve(s) with switching
time less than 300 milliseconds; and
c.1.c.3. Electrostatic chuck with twenty or more individually
controllable variable temperature elements;
c.2. Equipment designed for wet chemical processing and having a
largest `silicon germanium-to-silicon (SiGe:Si) etch selectivity' of
greater than or equal to 100:1;
c.3. Equipment designed for anisotropic dry etching having all
of following:
c.3.a Two or more RF independent sources;
c.3.b Two or more independent gas sources;
c.3.c `Process uniformity tuning' for wafer thickness variation
compensation; and
c.3.d Through Silicon Via (TSV) reveal Endpoint Detection (EPD);
c.4. Equipment designed for Through Silicon Via (TSV) etch
having all of the following:
c.4.a. Silicon etch rate greater than 7 microns per minute;
c.4.b. Within wafer (WIW) etch depth non-uniformity of less than
or equal 2 percent; and
c.4.c. A Through Silicon Via (TSV) aspect ratio greater than or
equal to 10:1.
Note 1: 3B001.c includes etching by `radicals', ions, sequential
reactions, or non-sequential reaction.
Note 2: 3B001.c.1.c includes etching using RF pulse excited
plasma, pulsed duty cycle excited plasma, pulsed voltage on
electrodes modified plasma, cyclic injection and purging of gases
combined with a plasma, plasma atomic layer etching, or plasma
quasi-atomic layer etching.
Technical Notes:
1. For the purposes of 3B001.c, `silicon germanium-to-silicon
(SiGe:Si) etch selectivity' is measured for a Ge concentration of
greater than or equal to 30% (Si0.70Ge0.30).
2. For the purposes of 3B001.c Note 1 and 3B001.d.14, `radical'
is defined as an atom, molecule, or ion that has an unpaired
electron in an open electron shell configuration.
3. For the purposes of 3B001.c.3, `process uniformity tuning' is
the process of compensating for incoming wafer thickness variations
after grinding.
d. Semiconductor manufacturing deposition equipment, as follows:
d.1. Equipment designed for cobalt (Co) electroplating or cobalt
electroless-plating deposition processes;
Note: 3B001.d.1 controls semiconductor wafer processing
equipment.
d.2. Equipment designed for:
d.2.a. Chemical vapor deposition of cobalt (Co) fill metal; or
d.2.b. Selective bottom-up chemical vapor deposition of tungsten
(W) fill metal;
d.3. Semiconductor manufacturing equipment designed to fabricate
a metal contact by multistep processing within a single chamber by
performing all of the following:
d.3.a. Deposition of a tungsten layer, using an organometallic
compound, while maintaining the wafer substrate temperature greater
than 100 [deg]C and less than 500 [deg]C; and
d.3.b. Surface treatment plasma process using hydrogen (H2),
hydrogen and nitrogen (H2+N2), or ammonia (NH3).
d.4. Equipment or systems designed for multistep processing in
multiple chambers or stations, as follows:
d.4.a. Equipment designed to fabricate a metal contact by
performing all of the following processes:
d.4.a.1. Surface treatment plasma process using hydrogen
(H2), including hydrogen and nitrogen (H2 +
N2) or ammonia (NH3), while maintaining the
wafer substrate at a temperature greater than 100 [deg]C and less
than 500 [deg]C;
d.4.a.2. Surface treatment plasma process using oxygen
(O2) or ozone (O3), while maintaining the
wafer substrate at a temperature greater than 40 [deg]C and less
than 500 [deg]C; and
d.4.a.3. Deposition of a tungsten (W) layer while maintaining
the wafer substrate temperature greater than 100 [deg]C and less
than 500 [deg]C;
d.4.b. Equipment designed to fabricate a metal contact by
performing all of the following processes:
d.4.b.1 Surface treatment process using a remote plasma
generator and an ion filter; and
d.4.b.2. Deposition of a cobalt (Co) layer selectively onto
copper (Cu) using an organometallic compound;
Note: This control does not apply to equipment that is non-
selective.
d.4.c. Equipment designed to fabricate a metal contact by
performing all the following processes:
d.4.c.1. Deposition of a titanium nitride (TiN) or tungsten
carbide (WC) layer, using an organometallic compound, while
maintaining the wafer substrate at a temperature greater than 20
[deg]C and less than 500 [deg]C;
d.4.c.2. Deposition of a cobalt (Co) layer using a physical
sputter deposition technique and having a process pressure greater
than 133.3 mPa and less than 13.33 Pa, while maintaining the wafer
substrate at a temperature below 500 [deg]C; and
d.4.c.3. Deposition of a cobalt (Co) layer using an
organometallic compound and having a process pressure greater than
133.3 Pa and less than 13.33 kPa, while maintaining the wafer
substrate at a temperature greater than 20 [deg]C and less than 500
[deg]C;
d.4.d. Equipment designed to fabricate copper (Cu) interconnects
by performing all of the following processes:
d.4.d.1. Deposition of a cobalt (Co) or ruthenium (Ru) layer
using an organometallic compound and having a process pressure
greater than 133.3 Pa and less than 13.33 kPa, while maintaining the
wafer substrate at a temperature greater than 20 [deg]C and less
than 500 [deg]C; and
d.4.d.2. Deposition of a copper layer using a physical vapor
deposition technique and having a process pressure greater than
133.3 mPa and less than 13.33 Pa, while maintaining the wafer
substrate at a temperature below 500 [deg]C;
d.5. Equipment designed for plasma enhanced chemical vapor
deposition of carbon hard masks more than 2 um thick and with
density of greater than 1.7g/cc;
d.6. Atomic Layer Deposition (ALD) equipment designed for area
selective deposition of a barrier or liner using an organometallic
compound;
Note: 3B001.d.6 includes equipment capable of area selective
deposition of a barrier layer to enable fill metal contact to an
underlying electrical conductor without a barrier layer at the fill
metal via interface to an underlying electrical conductor.
d.7. Equipment designed for Atomic Layer Deposition (ALD) of
tungsten (W) to fill an entire interconnect or in a channel less
than 40 nm wide, while maintaining the wafer substrate at a
temperature less than 500 [deg]C.
d.8. Equipment designed for Atomic Layer Deposition (ALD) of
`work function metal' having all of the following:
d.8.a. More than one metal source of which one is designed for
an aluminum (Al) precursor;
d.8.b. Precursor vessel designed and enabled to operate at a
temperature greater than 30 [deg]C; and
d.8.c. Designed for depositing a 'work function metal' having
all of the following:
d.8.c.1. Deposition of titanium-aluminum carbide (TiAlC); and
d.8.c.2. Enabling a work function greater than 4.0 eV;
Technical Note: For the purposes of 3B001.d.8, `work function
metal' is a material that controls the threshold voltage of a
transistor.
d.9. Spatial Atomic Layer Deposition (ALD) equipment having a
wafer support platform that rotates around an axis having any of the
following:
[[Page 96822]]
d.9.a. A spatial plasma enhanced atomic layer deposition mode of
operation;
d.9.b. A plasma source; or
d.9.c. A plasma shield or means to confine the plasma to the
plasma exposure process region;
d.10. Equipment designed for Atomic Layer Deposition (ALD) or
Chemical Vapor Deposition (CVD) of plasma enhanced of low fluorine
tungsten (FW) (fluorine (F) concentration less than 10\19\ atoms/
cm\3\) films;
d.11. [Reserved]
d.12. Equipment designed for depositing a metal layer, and
having any of the following:
d.12.a. Selective tungsten (W) growth without a barrier; or
d.12.b. Selective molybdenum (Mo) growth without a barrier;
d.13. Equipment designed for depositing a ruthenium layer (Ru)
using an organometallic compound, while maintaining the wafer
substrate at a temperature greater than 20 [deg]C and less than 500
[deg]C;
d.14. Equipment designed for deposition assisted by remotely
generated `radicals', enabling the fabrication of a silicon (Si) and
carbon (C) containing film, and having all of the following
properties of the deposited film:
d.14.a. A dielectric constant (k) of less than 4.4;
d.14.b. In features with an aspect ratio greater than 5:1 with
lateral openings of less than 35 nm; and
d.14.c. A feature-to-feature pitch of less than 45 nm;
d.15. Equipment designed for void free plasma enhanced
deposition of a low-k dielectric layer in gaps between metal lines
less than 25 nm and having an aspect ratio greater than or equal to
1:1 with a less than 3.3 dielectric constant;
d.16. [Reserved]
d.17. Equipment designed for plasma enhanced chemical vapor
deposition (PECVD) or radical assisted chemical vapor deposition and
UV curing in a single platform of a dielectric film, while
maintaining a substrate temperature below 500 [deg]C, having all of
the following:
d.17.a. A thickness of more than 6 nm and less than 20 nm on
metal features having less than 24 nm pitch and having an aspect
ratio equal to or greater than 1:1.8; and
d.17.b. A dielectric constant less than 3.0;
d.18. Equipment designed or modified for Atomic Layer Deposition
(ALD) of molybdenum (Mo), ruthenium (Ru), or combinations Mo or Ru,
and having all of the following:
d.18.a. A metal precursor source designed or modified to operate
at a temperature greater 75 [deg]C; and
d.18.b. A process chamber (module) using a reducing agent
containing hydrogen (H) at a pressure greater than or equal to 30
Torr (4 kPa).
Note: For the purposes of paragraph d.18.a, the metal precursor
source need not be integrated with the equipment. The metal
precursor could be delivered by an on-tool source or from a sub-fab
source.
d.19. Deposition equipment having direct-liquid injection of
more than two metal precursors, designed or modified to deposit a
conformal dielectric film with a dielectric constant (K) greater
than 40 in features with aspect ratio greater than 200:1 in a single
deposition chamber.
d.20. Physical vapor deposition equipment having electromagnets
for ion flux guidance, and ``specially designed'' to deposit
tungsten (W) metal into features having an aspect ratio of 3:1 or
greater.
e. Automatic loading multi-chamber central wafer handling
systems having all of the following:
e.1. Interfaces for wafer input and output, to which more than
two functionally different `semiconductor process tools' controlled
by 3B001.a, .b., .c, and .d are designed to be connected; and
e.2. Designed to form an integrated system in a vacuum
environment for `sequential multiple wafer processing';
Note: 3B001.e does not control automatic robotic wafer handling
systems ``specially designed'' for parallel wafer processing.
Technical Notes:
1. For the purposes of 3B001.e, `semiconductor process tools'
refers to modular tools that provide physical processes for
semiconductor ``production'' that are functionally different, such
as deposition, implant or thermal processing.
2. For the purposes of 3B001.e, `sequential multiple wafer
processing' means the capability to process each wafer in different
`semiconductor process tools', such as by transferring each wafer
from one tool to a second tool and on to a third tool with the
automatic loading multi-chamber central wafer handling systems.
f. Lithography equipment as follows:
f.1. Align and expose step and repeat (direct step on wafer) or
step and scan (scanner) equipment for wafer processing using photo-
optical or X-ray methods and having any of the following:
f.1.a. A light source wavelength shorter than 193 nm; or
f.1.b. A light source wavelength equal to or longer than 193 nm
and having all of the following:
f.1.b.1. The capability to produce a pattern with a ``Minimum
Resolvable Feature size'' (MRF) of 45 nm or less; and
f.1.b.2. A maximum 'dedicated chuck overlay' value of less than
or equal to 1.50 nm;
Technical Notes: For the purposes of 3B001.f.1.b:
1. The `Minimum Resolvable Feature size' (MRF) (i.e.,
resolution) is calculated by the following formula:
[GRAPHIC] [TIFF OMITTED] TR05DE24.008
where, for the purposes of 3B001.f.1.b, the K factor = 0.25 `MRF' is
also known as resolution.
2. `Dedicated chuck overlay' is the alignment accuracy of a new
pattern to an existing pattern printed on a wafer by the same
lithographic system. `Dedicated chuck overlay' is also known as
single machine overlay.
f.2. Imprint lithography equipment capable of production
features of 45 nm or less;
Note: 3B001.f.2 includes:
--Micro contact printing tools
--Hot embossing tools
--Nano-imprint lithography tools
--Step and flash imprint lithography (S-FIL) tools
f.3. Equipment ``specially designed'' for mask making having all
of the following:
f.3.a. A deflected focused electron beam, ion beam or ``laser''
beam; and
f.3.b. Having any of the following:
f.3.b.1. A Full-Width Half-Maximum (FWHM) spot size smaller than
65 nm and an image placement less than 17 nm (mean + 3 sigma); or
f.3.b.2. [Reserved]
f.3.b.3. A second-layer overlay error of less than 23 nm (mean +
3 sigma) on the mask;
f.4. Equipment designed for device processing using direct
writing methods, having all of the following:
f.4.a. A deflected focused electron beam; and
f.4.b. Having any of the following:
f.4.b.1. A minimum beam size equal to or smaller than 15 nm; or
f.4.b.2. An overlay error less than 27 nm (mean + 3 sigma);
f.5. Imprint lithography equipment having an overlay accuracy
less (better) than 1.5;
g. Masks and reticles, designed for integrated circuits
controlled by 3A001;
h. Multi-layer masks with a phase shift layer not specified by
3B001.g and designed to be used by lithography equipment having a
light source wavelength less than 245 nm;
Note: 3B001.h does not control multi-layer masks with a phase
shift layer designed for the fabrication of memory devices not
controlled by 3A001.
N.B.: For masks and reticles, ``specially designed'' for optical
sensors, see 6B002.
[[Page 96823]]
i. Imprint lithography templates designed for integrated
circuits by 3A001;
j. Mask ``substrate blanks'' with multilayer reflector structure
consisting of molybdenum and silicon, and having all of the
following:
j.1. ``Specially designed'' for ``Extreme Ultraviolet''
(``EUV'') lithography; and
j.2. Compliant with SEMI Standard P37;
k. Equipment designed for ion beam deposition or physical vapor
deposition of a multi-layer reflector for ``EUV'' masks;
l. ``EUV'' pellicles;
m. Equipment for manufacturing ``EUV'' pellicles;
n. Equipment designed for coating, depositing, baking, or
developing photoresist formulated for ``EUV'' lithography;
o. [Reserved]
p. Removal and cleaning equipment as follows:
p.1. [Reserved]
p.2. Single wafer wet cleaning equipment with surface
modification drying; or
p.3. [Reserved]
p.4. Equipment designed for single wafer cleaning using
supercritical CO2 or sublimation drying;
q. ``EUV'' masks and ``EUV'' reticles, designed for integrated
circuits, not specified by 3B001.g, and having a mask ``substrate
blank'' specified by 3B001.j; or
Technical Notes: For the purposes of 3B001.q, masks or reticles
with a mounted pellicle are considered masks and reticles.
r. Equipment designed for EUV `pattern shaping.'
Technical Note: For the purposes of 3B001.r, `pattern shaping'
is a deposition or removal process used to improve overall
patterning by reshaping or trimming patterns produced using EUV
lithography with non-vertical directed particles including ions,
neutral particles, clusters, radicals, or light.
3B002 Test or inspection equipment ``specially designed'' for
testing or inspecting finished or unfinished semiconductor devices
as follows (see List of Items Controlled) and ``specially designed''
``components'' and ``accessories'' therefor.
License Requirements
Reason for Control: NS, RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
NS applies to 3B002.a and b............... NS Column 2.
NS applies to 3B002.c..................... To or within Macau or a
destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.4(a)(4) of the EAR.
RS applies to 3B002.c..................... To or within Macau or a
destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.6(a)(6) of the EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
LVS: $500, except semiconductor manufacturing equipment specified in
3B002.c.
GBS: Yes
List of Items Controlled
Related Controls: See also 3A999.a, 3B992, and 3B993.
Related Definitions: N/A
Items:
a. For testing S-parameters of items specified by 3A001.b.3.
b. For testing microwave integrated circuits controlled by
3A001.b.2.
c. Inspection equipment designed for ``EUV'' mask blanks or
``EUV'' patterned masks.
* * * * *
3B991 Equipment not controlled by 3B001, 3B993, or 3B994, for the
manufacture of electronic ``parts,'' ``components,'' and materials,
and ``specially designed'' ``parts,'' ``components,'' and
``accessories'' therefor.
License Requirements
Reason for Control: AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
LVS: N/A
GBS: N/A
List of Items Controlled
Related Controls: N/A
Related Definitions: `Sputtering' is an overlay coating process
wherein positively charged ions are accelerated by an electric field
towards the surface of a target (coating material). The kinetic
energy of the impacting ions is sufficient to cause target surface
atoms to be released and deposited on the substrate. Note: Triode,
magnetron or radio frequency sputtering to increase adhesion of
coating and rate of deposition are ordinary modifications of the
process.
Items:
a. Equipment ``specially designed'' for the manufacture of
electron tubes, optical elements, and ``specially designed''
``parts'' and ``components'' therefor controlled by 3A001 or 3A991;
b. Equipment ``specially designed'' for the manufacture of
semiconductor devices, integrated circuits and ``electronic
assemblies,'' as follows, and systems incorporating or having the
characteristics of such equipment:
Note: 3B991.b also controls equipment used or modified for use
in the manufacture of other devices, such as imaging devices,
electro-optical devices, acoustic-wave devices.
b.1. Equipment for the processing of materials for the
manufacture of devices, ``parts,'' and ``components'' as specified
in the heading of 3B991.b, as follows:
Note: 3B991 does not control quartz furnace tubes, furnace
liners, paddles, boats (except ``specially designed'' caged boats),
bubblers, cassettes or crucibles ``specially designed'' for the
processing equipment controlled by 3B991.b.1.
b.1.a. Equipment for producing polycrystalline silicon and
materials controlled by 3C001;
b.1.b. Equipment ``specially designed'' for purifying or
processing III/V and II/VI semiconductor materials controlled by
ECCNs 3C001, 3C002, 3C003, 3C004, or 3C005 except crystal pullers,
for which see 3B991.b.1.c below;
b.1.c. Crystal pullers and furnaces, as follows:
Note: 3B991.b.1.c does not control diffusion and oxidation
furnaces.
b.1.c.1. Annealing or recrystallizing equipment other than
constant temperature furnaces employing high rates of energy
transfer capable of processing wafers at a rate exceeding 0.005 m\2\
per minute;
b.1.c.2. ``Stored program controlled'' crystal pullers having
any of the following characteristics:
b.1.c.2.a. Rechargeable without replacing the crucible
container;
b.1.c.2.b. Capable of operation at pressures above 2.5 x 10\5\
Pa; or
b.1.c.2.c. Capable of pulling crystals of a diameter exceeding
100 mm;
b.1.d. ``Stored program controlled'' equipment for epitaxial
growth having any of the following characteristics:
b.1.d.1. Capable of producing silicon layer with a thickness
uniform to less than 2.5% across a distance of 200 mm or
more;
b.1.d.2. Capable of producing a layer of any material other than
silicon with a thickness uniformity across the wafer of equal to or
better than 3.5%; or
b.1.d.3. Rotation of individual wafers during processing;
b.1.e. Molecular beam epitaxial growth equipment;
b.1.f. Magnetically enhanced 'sputtering' equipment with
``specially designed'' integral load locks capable of transferring
wafers in an isolated vacuum environment;
b.1.g. Equipment ``specially designed'' for ion implantation,
ion-enhanced, or photo-enhanced diffusion, having any of the
following characteristics:
b.1.g.1. Patterning capability;
b.1.g.2. Beam energy (accelerating voltage) exceeding 200 keV;
b.1.g.3 Optimized to operate at a beam energy (accelerating
voltage) of less than 10 keV; or
[[Page 96824]]
b.1.g.4. Capable of high energy oxygen implant into a heated
``substrate'';
b.1.h. ``Stored program controlled'' equipment for the selective
removal (i.e., etching) by means of anisotropic dry methods (e.g.,
plasma), as follows:
b.1.h.1. Batch types having either of the following:
b.1.h.1.a. End-point detection, other than optical emission
spectroscopy types; or
b.1.h.1.b. Reactor operational (etching) pressure of 26.66 Pa or
less;
b.1.h.2. Single wafer types having any of the following:
b.1.h.2.a. End-point detection, other than optical emission
spectroscopy types;
b.1.h.2.b. Reactor operational (etching) pressure of 26.66 Pa or
less; or
b.1.h.2.c. Cassette-to-cassette and load locks wafer handling;
Note 1: ``Batch types'' refers to machines not ``specially
designed'' for production processing of single wafers. Such machines
can process two or more wafers simultaneously with common process
parameters (e.g., RF power, temperature, etch gas species, flow
rates).
Note 2: ``Single wafer types'' refers to machines ``specially
designed'' for production processing of single wafers. These
machines may use automatic wafer handling techniques to load a
single wafer into the equipment for processing. The definition
includes equipment that can load and process several wafers but
where the etching parameters (e.g., RF power or end point) can be
independently determined for each individual wafer.
b.1.i. ``Chemical vapor deposition'' (CVD) equipment (e.g.,
plasma-enhanced CVD (PECVD) or photo-enhanced CVD) for semiconductor
device manufacturing, having either of the following capabilities,
for deposition of oxides, nitrides, metals, or polysilicon:
b.1.i.1. ``Chemical vapor deposition'' equipment operating below
10\5\ Pa; or
b.1.i.2. PECVD equipment operating either below 60 Pa (450
millitorr) or having automatic cassette-to-cassette and load lock
wafer handling;
Note: 3B991.b.1.i does not control low pressure ``chemical vapor
deposition'' (LPCVD) systems or reactive ``sputtering'' equipment.
b.1.j. Electron beam systems ``specially designed'' or modified
for mask making or semiconductor device processing having any of the
following characteristics:
b.1.j.1. Electrostatic beam deflection;
b.1.j.2. Shaped, non-Gaussian beam profile;
b.1.j.3. Digital-to-analog conversion rate exceeding 3 MHz;
b.1.j.4. Digital-to-analog conversion accuracy exceeding 12 bit;
or
b.1.j.5. Target-to-beam position feedback control precision of 1
micrometer or finer;
Note: 3B991.b.1.j does not control electron beam deposition
systems or general purpose scanning electron microscopes.
b.1.k. Surface finishing equipment for the processing of
semiconductor wafers as follows:
b.1.k.1. ``Specially designed'' equipment for backside
processing of wafers thinner than 100 micrometer and the subsequent
separation thereof; or
b.1.k.2. ``Specially designed'' equipment for achieving a
surface roughness of the active surface of a processed wafer with a
two-sigma value of 2 micrometer or less, total indicator reading
(TIR);
Note: 3B991.b.1.k does not control single-side lapping and
polishing equipment for wafer surface finishing.
b.1.l. Interconnection equipment which includes common single or
multiple vacuum chambers ``specially designed'' to permit the
integration of any equipment controlled by 3B991 into a complete
system;
b.1.m. ``Stored program controlled'' equipment using ``lasers''
for the repair or trimming of ``monolithic integrated circuits''
with either of the following characteristics:
b.1.m.1. Positioning accuracy less than 1
micrometer; or
b.1.m.2. Spot size (kerf width) less than 3 micrometer.
b.2. Masks, mask ``substrates,'' mask-making equipment and image
transfer equipment for the manufacture of devices, ``parts'' and
``components'' as specified in the heading of 3B991, as follows:
Note: The term ``masks'' refers to those used in electron beam
lithography, X-ray lithography, and ultraviolet lithography, as well
as the usual ultraviolet and visible photo-lithography.
b.2.a. Finished masks, reticles and designs therefor, except:
b.2.a.1. Finished masks or reticles for the production of
unembargoed integrated circuits; or
b.2.a.2. Masks or reticles, having both of the following
characteristics:
b.2.a.2.a. Their design is based on geometries of 2.5 micrometer
or more; and
b.2.a.2.b. The design does not include special features to alter
the intended use by means of production equipment or ``software'';
b.2.b. Mask ``substrates'' as follows:
b.2.b.1. Hard surface (e.g., chromium, silicon, molybdenum)
coated ``substrates'' (e.g., glass, quartz, sapphire) for the
preparation of masks having dimensions exceeding 125 mm x 125 mm; or
b.2.b.2. ``Substrates'' ``specially designed'' for X-ray masks;
b.2.c. Equipment, other than general purpose computers,
``specially designed'' for computer aided design (CAD) of
semiconductor devices or integrated circuits;
b.2.d. Equipment or machines, as follows, for mask or reticle
fabrication:
b.2.d.1. Photo-optical step and repeat cameras capable of
producing arrays larger than 100 mm x 100 mm, or capable of
producing a single exposure larger than 6 mm x 6 mm in the image
(i.e., focal) plane, or capable of producing line widths of less
than 2.5 micrometer in the photoresist on the ``substrate'';
b.2.d.2. Mask or reticle fabrication equipment using ion or
``laser'' beam lithography capable of producing line widths of less
than 2.5 micrometer; or
b.2.d.3. Equipment or holders for altering masks or reticles or
adding pellicles to remove defects;
Note: 3B991.b.2.d.1 and b.2.d.2 do not control mask fabrication
equipment using photo-optical methods which was either commercially
available before the 1st of January, 1980, or has a performance no
better than such equipment.
b.2.e. ``Stored program controlled'' equipment for the
inspection of masks, reticles or pellicles with:
b.2.e.1. A resolution of 0.25 micrometer or finer; and
b.2.e.2. A precision of 0.75 micrometer or finer over a distance
in one or two coordinates of 63.5 mm or more;
Note: 3B991.b.2.e does not control general purpose scanning
electron microscopes except when ``specially designed'' and
instrumented for automatic pattern inspection.
b.2.f. Align and expose equipment for wafer production using
photo-optical or X-ray methods (e.g., lithography equipment)
including both projection image transfer equipment and step and
repeat (i.e., direct step on wafer) or step and scan (scanner)
equipment, capable of performing any of the following functions:
Note: 3B991.b.2.f does not control photo-optical contact and
proximity mask align and expose equipment or contact image transfer
equipment.
b.2.f.1. Production of a pattern size of less than 2.5
micrometer;
b.2.f.2. Alignment with a precision finer than 0.25
micrometer (3 sigma);
b.2.f.3. Machine-to-machine overlay no better than 0.3 micrometer; or
b.2.f.4. A light source wavelength shorter than 400 nm;
b.2.g. Electron beam, ion beam or X-ray equipment for projection
image transfer capable of producing patterns less than 2.5
micrometer;
Note: For focused, deflected-beam systems(direct write systems),
see 3B991.b.1.j or b.10.
b.2.h. Equipment using ``lasers'' for direct write on wafers
capable of producing patterns less than 2.5 micrometer.
b.3. Equipment for the assembly of integrated circuits, as
follows:
b.3.a. ``Stored program controlled'' die bonders having all of
the following characteristics:
b.3.a.1. ``Specially designed'' for ``hybrid integrated
circuits'';
b.3.a.2. X-Y stage positioning travel exceeding 37.5 x 37.5 mm;
and
b.3.a.3. Placement accuracy in the X-Y plane of finer than
10 micrometer;
b.3.b. ``Stored program controlled'' equipment for producing
multiple bonds in a single operation (e.g., beam lead bonders, chip
carrier bonders, tape bonders);
b.3.c. Semi-automatic or automatic hot cap sealers, in which the
cap is heated locally to a higher temperature than the body of the
package, ``specially designed'' for ceramic microcircuit packages
controlled by 3A001 and that have a throughput equal to or more than
one package per minute.
Note: 3B991.b.3 does not control general purpose resistance type
spot welders.
b.4. Filters for clean rooms capable of providing an air
environment of 10 or less
[[Page 96825]]
particles of 0.3 micrometer or smaller per 0.02832 m\3\ and filter
materials therefor.
3B992 Equipment not controlled by 3B002, 3B993, or 3B994, for the
inspection or testing of electronic ``components'' and materials,
(see List of Items Controlled) and ``specially designed'' ``parts,''
``components'' and ``accessories'' therefor.
License Requirements
Reason for Control: AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
LVS: N/A
GBS: N/A
List of Items Controlled
Related Controls: See also 3A992.a.
Related Definitions: N/A
Items:
a. Equipment ``specially designed'' for the inspection or
testing of electron tubes, optical elements and ``specially
designed'' ``parts'' and ``components'' therefor controlled by 3A001
or 3A991;
b. Equipment ``specially designed'' for the inspection or
testing of semiconductor devices, integrated circuits and
``electronic assemblies'', as follows, and systems incorporating or
having the characteristics of such equipment:
Note: 3B992.b also controls equipment used or modified for use
in the inspection or testing of other devices, such as imaging
devices, electro-optical devices, acoustic-wave devices.
b.1. ``Stored program controlled'' inspection equipment for the
automatic detection of defects, errors or contaminants of 0.6
micrometer or less in or on processed wafers, ``substrates'', other
than printed circuit boards or chips, using optical image
acquisition techniques for pattern comparison;
Note: 3B992.b.1 does not control general purpose scanning
electron microscopes, except when ``specially designed'' and
instrumented for automatic pattern inspection.
b.2. ``specially designed'' ``stored program controlled''
measuring and analysis equipment, as follows:
b.2.a. ``specially designed'' for the measurement of oxygen (O)
or carbon (C) content in semiconductor materials;
b.2.b. Equipment for line width measurement with a resolution of
1 micrometer or finer;
b.2.c. ``specially designed'' flatness measurement instruments
capable of measuring deviations from flatness of 10 micrometer or
less with a resolution of 1 micrometer or finer.
b.3. ``Stored program controlled'' wafer probing equipment
having any of the following characteristics:
b.3.a. Positioning accuracy finer than 3.5 micrometer;
b.3.b. Capable of testing devices having more than 68 terminals;
or
b.3.c. Capable of testing at a frequency exceeding 1 GHz;
b.4. Test equipment as follows:
b.4.a. ``Stored program controlled'' equipment ``specially
designed'' for testing discrete semiconductor devices and
unencapsulated dice, capable of testing at frequencies exceeding 18
GHz;
Technical Note: Discrete semiconductor devices include
photocells and solar cells.
b.4.b. ``Stored program controlled'' equipment ``specially
designed'' for testing integrated circuits and ``electronic
assemblies'' thereof, capable of functional testing:
b.4.b.1. At a `pattern rate' exceeding 20 MHz; or
b.4.b.2. At a `pattern rate' exceeding 10 MHz but not exceeding
20 MHz and capable of testing packages of more than 68 terminals.
Note: 3B992.b.4.b does not control test equipment ``specially
designed'' for testing:
1. memories;
2. ``Assemblies'' or a class of ``electronic assemblies'' for
home and entertainment applications; and
3. Electronic ``parts,'' ``components,'' ``assemblies'' and
integrated circuits not controlled by 3A001 or 3A991 provided such
test equipment does not incorporate computing facilities with ``user
accessible programmability.''
Technical Note: For purposes of 3B992.b.4.b, `pattern rate' is
defined as the maximum frequency of digital operation of a tester.
It is therefore equivalent to the highest data rate that a tester
can provide in non-multiplexed mode. It is also referred to as test
speed, maximum digital frequency or maximum digital speed.
b.4.c. Equipment ``specially designed'' for determining the
performance of focal-plane arrays at wavelengths of more than 1,200
nm, using ``stored program controlled'' measurements or computer
aided evaluation and having any of the following characteristics:
b.4.c.1. Using scanning light spot diameters of less than 0.12
mm;
b.4.c.2. Designed for measuring photosensitive performance
parameters and for evaluating frequency response, modulation
transfer function, uniformity of responsivity or noise; or
b.4.c.3. Designed for evaluating arrays capable of creating
images with more than 32 x 32 line elements;
b.5. Electron beam test systems designed for operation at 3 keV
or below, or ``laser'' beam systems, for non-contactive probing of
powered-up semiconductor devices having any of the following:
b.5.a. Stroboscopic capability with either beam blanking or
detector strobing;
b.5.b. An electron spectrometer for voltage measurements with a
resolution of less than 0.5 V; or
b.5.c. Electrical tests fixtures for performance analysis of
integrated circuits;
Note: 3B992.b.5 does not control scanning electron microscopes,
except when ``specially designed'' and instrumented for non-
contactive probing of a powered-up semiconductor device.
b.6. ``Stored program controlled'' multifunctional focused ion
beam systems ``specially designed'' for manufacturing, repairing,
physical layout analysis and testing of masks or semiconductor
devices and having either of the following characteristics:
b.6.a. Target-to-beam position feedback control precision of 1
micrometer or finer; or
b.6.b. Digital-to-analog conversion accuracy exceeding 12 bit;
b.7. Particle measuring systems employing ``lasers'' designed
for measuring particle size and concentration in air having both of
the following characteristics:
b.7.a. Capable of measuring particle sizes of 0.2 micrometer or
less at a flow rate of 0.02832 m\3\ per minute or more; and
b.7.b. Capable of characterizing Class 10 clean air or better.
* * * * *
3B993 Specified semiconductor manufacturing equipment as follows
(see list of items controls).
License Requirements
Reason for Control: RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
RS applies to entire entry................ See Sec. 742.6(a)(11) of
the EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
LVS: N/A
GBS: N/A
List of Items Controlled
Related Controls: (1) See ECCNs 3D993 and 3E993 for associated
``software'' and ``technology'' controls. (2) For additional
controls that apply to this ECCN, see also Sec. 744.11(a)(2)(v) and
Sec. 744.23(a)(4) of the EAR.
Related Definitions: N/A
Items:
a. [Reserved]
b. Semiconductor wafer fabrication equipment for 300 mm wafers
designed for ion implantation and having any of the following:
b.1. Equipment designed for plasma doping, having all of the
following:
b.1.a. One or more Radio Frequency (RF) power source(s);
b.1.b. One or more pulsed DC Power Source; and
b.1.c. One or more n-type or p-type dopant implants.
b.2 [Reserved]
c. Etch equipment as follows:
c.1. Equipment designed or modified for anisotropic etching of
dielectric materials and enabling the fabrication of high aspect
ratio features with aspect ratio greater than 30:1 and a lateral
dimension on the top surface of less than 100 nm, and having all of
the following:
[[Page 96826]]
c.1.a. Radio Frequency (RF) power source(s) with at least one
pulsed RF output; and
c.1.b. One or more fast gas switching valve(s) with switching
time less than 300 milliseconds.
Note: 3B993.c.1 includes etching by 'radicals', ions, sequential
reactions, or non-sequential reaction.
Technical Note: For the purposes of the Note to 3B993.c.1,
'radical' is defined as an atom, molecule, or ion that has an
unpaired electron in an open electron shell configuration.
c.2. Equipment, not specified by 3B993.c.1, designed for
anisotropic etching of dielectric material and enabling the
fabrication of high aspect ratio features having all of the
following:
c.2.a. An aspect ratio greater than 30:1; and
c.2.b. A lateral dimension on the top surface of less than 40
nm.
Note: 3B993.c.2 does not apply to equipment designed for wafer
diameters less than 300 mm.
c.3. Equipment, not specified by 3B001.c.1.c, designed or
modified for anisotropic dry etching, having all of the following:
c.3.a. Radio Frequency (RF) power source(s) with at least one
pulsed RF output;
c.3.b. One or more fast gas switching valve(s) with switching
time less than 500 milliseconds; and
c.3.c. Electrostatic chuck with greater than or equal to 10
individually controllable variable temperature elements.
d. Semiconductor manufacturing deposition equipment as follows:
d.1. Equipment designed, not specified by 3B001.d.14, for
deposition assisted by remotely generated `radicals', enabling the
fabrication of a silicon (Si) and carbon (C) containing film, and
having all of the following properties of the deposited film:
d.1.a. A dielectric constant (k) of less than 5.3;
d.1.b. In features with an aspect ratio greater than 5:1 with
lateral openings of less than 70 nm; and
d.1.c. A feature-to-feature pitch of less than 100 nm.
d.2. Equipment designed for deposition of a film, containing
silicon and carbon, and having a dielectric constant (k) of less
than 5.3, into lateral openings having widths of less than 70 nm and
aspect ratios greater than 5:1 (depth: width) and a feature-to-
feature pitch of less than 100 nm, while maintaining the wafer
substrate at a temperature greater than 400 [deg]C and less than 650
[deg]C, and having all of the following:
d.2.a. Boat designed to hold multiple vertically stacked wafers;
d.2.b. Two or more vertical injectors; and
d.2.c. A silicon source and propene are introduced to a
different injector than a nitrogen source or an oxygen source.
d.3. Equipment designed for chemical vapor deposition of a
carbon material layer with a density more than 1.6 g/cm\3\.
d.4. Deposition equipment, not specified by 3B001.d.19, having
direct-liquid injection of more than two metal precursors, designed
or modified to deposit a conformal dielectric film with a dielectric
constant (K) greater than 35 in features with aspect ratio greater
than 50:1 in a single deposition chamber.
e. [Reserved]
f. Lithography equipment as follows:
f.1. Align and expose step and repeat (direct step on wafer) or
step and scan (scanner) lithography equipment for wafer processing
using photo-optical or X-ray methods and having all of the
following:
f.1.a. [Reserved]
f.1.b. A light source wavelength equal to or longer than 193 nm
and having all of the following:
f.1.b.1 The capability to produce a pattern with a `Minimum
Resolvable Feature size' (`MRF') of 45 nm or less; and
f.1.b.2. A maximum `dedicated chuck overlay' value greater than
1.50 nm and less than or equal to 2.40 nm.
Technical Notes for paragraph 3B993.f.1:
1. The 'Minimum Resolvable Feature size' (`MRF') is calculated
by the following formula:
[GRAPHIC] [TIFF OMITTED] TR05DE24.009
where, for the purposes of 3B993.f.1, the K factor = 0.25.
`MRF' is also known as resolution.
2. `Dedicated chuck overlay' is the alignment accuracy of a new
pattern to an existing pattern printed on a wafer by the same
lithographic system. `Dedicated chuck overlay' is also known as
single machine overlay.
f.2. Imprint lithography equipment having an overlay accuracy
above 1.5 nm and less (better) than or equal to 4.0 nm.
f.3. Commodities designed or modified to increase the number of
wafers processed per hour, averaged over any time interval, by
greater than 1%, of equipment specified in 3B001.f.1 or 3B993.f.1.
g. through n. [Reserved]
o. Annealing equipment designed for 300 mm wafers as follows:
o.1 Annealing equipment, operating in a vacuum (equal to or less
than 0.01 Pa) environment, performing any of the following:
o.1.a. Reflow of copper (Cu) to minimize or eliminate voids or
seams in copper (Cu) metal interconnects; or
o.1.b. Reflow of cobalt (Co) or tungsten (W) fill metal to
minimize or eliminate voids or seams;
o.2. Equipment designed to heat a semiconductor wafer to a
temperature greater than 1000 [deg]C (1832 [deg]F) for a `duration'
less than 2 ms.
Technical Note: For the purposes of 3B993.o.2, `duration' is the
period above stated temperature.
p. Removal and cleaning equipment as follows:
p.1. Equipment designed for removing polymeric residue and
copper oxide (CuO) film and enabling deposition of copper (Cu) metal
in a vacuum (equal to or less than 0.01 Pa) environment.
p.2. [Reserved]
p.3. Equipment designed for dry surface oxide removal preclean
or dry surface decontamination.
Note to 3B993.p.1 and p.3: These controls do not apply to
deposition equipment.
q. Inspection and metrology equipment as follows:
q.1. Patterned wafer defect metrology or patterned wafer defect
inspection equipment, designed or modified to accept wafers greater
than or equal to 300 mm in diameter, and having all of the
following:
q.1.a. Designed or modified to detect defects having a size
equal to or less than 21 nm; and
q.1.b. Having any of the following:
q.1.b.1. A light source with an optical wavelength less than 400
nm;
q.1.b.2. An electron-beam source with a resolution less (better)
than or equal to 1.65 nm;
q.1.b.3. A Cold Field Emission (CFE) electron-beam source; or
q.1.b.4. Two or more electron-beam sources.
q.2. Metrology equipment as follows:
q.2.a. Stand-alone equipment designed to measure wafer shape
parameters prior to lithography exposure and utilize measurements to
improve overlay or focus of a deep ultraviolet (DUV) lithography
system having an immersion lens having a numerical aperture more
than 1.3 or an Extreme Ultraviolet lithography (EUV) system; or
q.2.b. Metrology equipment designed to measure focus or overlay
after resist development or after etch on product wafers using
image-based overlay or diffraction-based measurements techniques,
with an overlay measurement accuracy less (better) than or equal to
0.5 nm having any of the following:
[[Page 96827]]
q.2.b.1 designed for integration to a `track'; or
q.2.b.2 `fast wavelength switching functionality';
Technical Notes:
1. For the purposes of 3B993.q.2, a `track' is equipment
designed for coating and developing photoresist formulated for
lithography.
2. For the purposes of 3B993.q.2, `fast wavelength switching
functionality' is defined as having the ability the change the
measurement wavelength and acquire a measurement in less than 25 ms.
* * * * *
3B994 Semiconductor manufacturing equipment that enables ``advanced-
node integrated circuit'' production, as follows (see list of items
controls).
License Requirements
Reason for Control: RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
RS applies to entire entry................ See Sec. 742.6(a)(11) of
the EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
LVS: N/A
GBS: N/A
Special Conditions for STA
STA: License Exception STA may not be used to ship or transmit
commodities specified in this ECCN to any of the destinations listed
in Country Group A:5 or A:6 (See supplement no.1 to part 740 of the
EAR).
List of Items Controlled
Related Controls: (1) See ECCNs 3D994 and 3E994 for associated
software and technology controls. (2) For additional controls that
apply to this ECCN, see also Sec. 744.11(a)(2)(v) and Sec.
744.23(a)(4) of the EAR.
Related Definitions: N/A
Items:
Note for 3B994: Equipment specified in this ECCN 3B994 are
limited to equipment designed for volume production, such as
equipment designed to accept a SEMI standard wafer carrier such as a
200 mm or larger Front Opening Unified Pod or be connected to a
multi-chamber wafer handling system.
a. [Reserved]
b. Semiconductor wafer fabrication equipment designed for ion
implantation of 300mm wafers as follows:
b.1. [Reserved]
b.2. Ion implantation equipment as follows:
b.2.a. Having all of the following:
b.2.a.1. Beam current greater than 1uA and less than 5mA; and
b.2.a.2. Beam energy greater than 5 keV and less than 300 keV;
or
b.2.b. Having all of the following:
b.2.b.1. Beam current greater than 5 mA; and
b.2.b.2. Beam energy less than 5 keV; or
b.2.c. Having angular accuracy equal to or less (better) than
0.1 degrees.
c. through p. [Reserved]
q. Inspection and metrology equipment as follows:
q.1. and q.2. [Reserved]
q.3. Optical thin film metrology equipment or optical critical
dimension metrology equipment designed for 300mm wafers and
containing software designed for measuring non-planar transistors.
* * * * *
3D001 ``Software'' ``specially designed'' for the ``development'' or
``production'' of commodities controlled by 3A001.b to 3A002.h,
3A090, or 3B (except 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r,
3B002.c, 3B903, 3B904, 3B991, 3B992, 3B993, or 3B994).
License Requirements
Reason for Control: NS, RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
NS applies to ``software'' for equipment Worldwide control.
controlled by 3B001.q. See Sec. 742.4(a)(5) and
(b)(10) of the EAR.
RS applies to ``software'' for equipment Worldwide control.
controlled by 3B001.q. See Sec. 742.6(a)(10) and
(b)(11) of the EAR.
NS applies to ``software'' for commodities NS Column 1.
controlled by 3A001.b to 3A001.h,
3A001.z, and 3B (except as specified in
the heading).
RS applies to ``software'' for commodities To or within destinations
controlled by 3A001.z and 3A090 (except specified in Country Groups
for 3A090.c). D:1, D:4, and D:5 of
supplement no. 1 to part
740 of the EAR, excluding
any destination also
specified in Country Groups
A:5 or A:6. See Sec.
742.6(a)(6)(iii) of the
EAR.
RS applies to ``software'' for commodities To or within Macau or a
controlled by 3A090.c. destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.6(a)(6)(i) of the EAR.
AT applies to entire entry................ AT Column 1.
Reporting Requirements
See Sec. 743.1 of the EAR for reporting requirements for
exports under License Exceptions, and Validated End-User
authorizations.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
TSR: Yes, except for ``software'' ``specially designed'' for the
``development'' or ``production'' of Traveling Wave Tube Amplifiers
described in 3A001.b.8 having operating frequencies exceeding 18
GHz; or commodities specified in 3A090.
Note: See Sec. 740.2(a)(9)(ii) of the EAR for license exception
restrictions for ECCN 3D001 ``software'' for commodities controlled
by 3A001.z and 3A090.
IEC: Yes, for ``software'' for equipment controlled by 3B001.q, see
Sec. 740.2(a)(22) and Sec. 740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be used to ship or transmit
``software'' ``specially designed'' for the ``development'' or
``production'' of equipment specified by 3B001.q to any of the
destinations listed in Country Group A:5 or A:6 (See supplement no.1
to part 740 of the EAR); and 3A090, or 3A002.g.1 to any of the
destinations listed in Country Group A:6.
List of Items Controlled
Related Controls: N/A
Related Definitions: N/A
Items:
The list of items controlled is contained in the ECCN heading.
3D002 ``Software'' ``specially designed'' for the ``use'' of
equipment controlled by 3B001.a to .f, or 3B002.
License Requirements
Reason for Control: NS, RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
NS applies to entire entry................ NS Column 1.
NS applies to ``software'' for equipment Worldwide control. See Sec.
controlled by 3B001.c.1.a or c.1.c. 742.4(a)(5) and (b)(10) of
the EAR.
RS applies to ``software'' for equipment Worldwide control. See Sec.
controlled by 3B001.c.1.a or c.1.c. 742.6(a)(10) and (b)(11)
of the EAR.
AT applies to entire entry................ AT Column 1.
License Requirements Note: See Sec. 744.17 of the EAR for
additional license requirements for microprocessors having a
processing speed of 5 GFLOPS or more and an arithmetic logic unit
with an access width of 32 bit or more, including those
incorporating ``information security'' functionality, and associated
``software'' and ``technology'' for the ``production'' or
``development'' of such microprocessors.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
TSR: Yes, except N/A for RS.
[[Page 96828]]
IEC: Yes, for ``software'' for equipment controlled by 3B001.c.1.a
and 3B001.c.1.c, see Sec. 740.2(a)(22) and Sec. 740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be used to ship or transmit
``software'' ``specially designed'' for the ``use'' of equipment
specified by 3B001.c.1.a or c.1.b to any of the destinations listed
in Country Group A:5 or A:6 (See supplement no.1 to part 740 of the
EAR)
List of Items Controlled
Related Controls: Also see 3D991.
Related Definitions: N/A
Items: The list of items controlled is contained in the ECCN
heading.
* * * * *
3D992 ``Software'' for the ``development'' or ``production'' of
commodities specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2,
p.4, r, or 3B002.c and ``software'' as follows (see List of Items
Controlled).
License Requirements
Reason for Control: NS, RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
NS applies to the entire entry............ To or within Macau or a
destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.4(a)(4) of the EAR.
RS applies to the entire entry............ To or within Macau or a
destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.6(a)(6)(i) of the EAR.
NS applies to ``software'' for equipment Worldwide control. See Sec.
controlled by 3B001.c.1.a or c.1.c. 742.4(a)(5) and (b)(10) of
the EAR.
RS applies to ``software'' for equipment Worldwide control. See Sec.
controlled by 3B001.c.1.a or c.1.c. 742.6(a)(10) and (b)(11)
of the EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
TSR: N/A
IEC: Yes, for ``software'' for equipment controlled by 3B001.c.1.a
and 3B001.c.1.c, see Sec. 740.2(a)(22) and Sec. 740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be used to ship or transmit
``software'' specified in this ECCN to any of the destinations
listed in Country Group A:5 or A:6 (See supplement no.1 to part 740
of the EAR).
List of Items Controlled
Related Controls: For additional controls that apply to this ECCN,
see also Sec. 744.11(a)(2)(v) and (a)(3) and Sec.
744.23(a)(4)(iii) of the EAR.
Related Definitions: N/A
Items:
a. ``Software'' for the ``development'' or ``production,'' of
commodities specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2,
p.4, r, or 3B002.c; and
b. `Electronic Computer-Aided Design' (`ECAD') ``software''
designed for the integration of multiple dies into a `multi-chip'
integrated circuit, and having all of the following:
b.1. Floor planning; and
b.2. Co-design or co-simulation of die and package.
Technical Note: For the purposes of 3D992.b, `multi-chip'
includes multi-die and multi-chiplet.
3D993 ``Software'' for the ``development'' or ``production'' of
commodities specified in 3B993 and ``software'' as follows (see List
of Items Controlled).
License Requirements
Reason for Control: RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
RS applies to entire entry................ See Sec. 742.6(a)(11) of
the EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
TSR: N/A
Special Conditions for STA
STA: License Exception STA may not be used to ship or transmit
``software'' specified in this ECCN to any of the destinations
listed in Country Group A:5 or A:6 (see supplement no.1 to part 740
of the EAR).
List of Items Controlled
Related Controls: For additional controls that apply to this ECCN,
see also Sec. 744.11(a)(2)(v) and (a)(3) and Sec.
744.23(a)(4)(iii) of the EAR.
Related Definitions: N/A
Items:
a. ``Software'' for the ``development'' or ``production'' of
commodities specified in 3B993.
b. `Electronic Computer-Aided Design' (`ECAD') ``software''
designed or modified for the ``development'' or ``production'' of
integrated circuits using multipatterning.
c. `Computational lithography' ``software'' designed or modified
for the ``development'' or ``production'' of patterns on DUV
lithography masks or reticles.
d. ``Software'' designed or modified to increase the number of
wafers processed per hour, averaged over any time interval, by
greater than 1%, of equipment specified in 3B001.f.1 or 3B993.f.1.
Technical Note: For the purposes of 3D993, `computational
lithography' is the use of computer modelling to predict, correct,
optimize and verify imaging performance of the lithography process
over a range of patterns, processes, and system conditions.
* * * * *
3D994 ``Software'' for the ``development'' or ``production'' of
commodities specified in 3B994 and ``software'' as follows (see List
of Items Controlled).
License Requirements
Reason for Control: RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
RS applies to entire entry................ See Sec. 742.6(a)(11) of
the EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
TSR: N/A
Special Conditions for STA
STA: License Exception STA may not be used to ship or transmit
``software'' specified in this ECCN to any of the destinations
listed in Country Group A:5 or A:6 (see supplement no. 1 to part 740
of the EAR).
List of Items Controlled
Related Controls: For additional controls that apply to this ECCN,
see also Sec. 744.11(a)(2)(v) and (a)(3) and Sec.
744.23(a)(4)(iii) of the EAR.
Related Definitions: N/A
Items: The list of items controlled is contained in the ECCN
heading.
* * * * *
3E001 ``Technology'' according to the General Technology Note for
the ``development'' or ``production'' of commodities controlled by
3A (except 3A901, 3A904, 3A980, 3A981, 3A991, 3A992, or 3A999), 3B
(except 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c,
3B903, 3B904, 3B991, 3B992, 3B993, or 3B994) or 3C (except 3C907,
3C908, 3C909, or 3C992).
License Requirements
Reason for Control: NS, MT, NP, RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
NS applies to ``technology'' for NS Column 1.
commodities controlled by 3A001, 3A002,
3A003, 3B001 (except as noted in the
heading), 3B002 (except 3B002.c), or
3C001 to 3C006.
MT applies to ``technology'' for MT Column 1.
commodities controlled by 3A001 or 3A101
for MT Reasons.
[[Page 96829]]
NP applies to ``technology'' for NP Column 1.
commodities controlled by 3A001, 3A201,
or 3A225 to 3A234 for NP reasons.
RS applies to ``technology'' for Worldwide (see Sec.
commodities controlled in 3A090, when 742.6(a)(6)(ii)).
exported from Macau or a destination
specified in Country Group D:5.
RS applies to ``technology'' for To or within destinations
commodities controlled by 3A001.z, 3A090 specified in Country Groups
(except for 3A090.c). D:1, D:4, and D:5 of
supplement no. 1 to part
740 of the EAR, excluding
any destination also
specified in Country Groups
A:5 or A:6. See Sec.
742.6(a)(6)(iii) of the
EAR.
RS applies to ``technology'' for To or within Macau or a
commodities controlled by 3A090.c. destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.6(a)(6)(i)(B) of the
EAR.
RS applies to ``technology'' for RS Column 2.
commodities controlled by 3A001.a.15 or
b.13, 3A004, 3B003, 3C007, 3C008, or
3C009.
AT applies to entire entry................ AT Column 1.
License Requirements Note: See Sec. 744.17 of the EAR for
additional license requirements for microprocessors having a
processing speed of 5 GFLOPS or more and an arithmetic logic unit
with an access width of 32 bit or more, including those
incorporating ``information security'' functionality, and associated
``software'' and ``technology'' for the ``production'' or
``development'' of such microprocessors.
Reporting Requirements
See Sec. 743.1 of the EAR for reporting requirements for
exports under License Exceptions, Special Comprehensive Licenses,
and Validated End-User authorizations.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
TSR: Yes, except N/A for MT, and ``technology'' for the
``development'' or ``production'' of: (a) vacuum electronic device
amplifiers described in 3A001.b.8, having operating frequencies
exceeding 19 GHz; (b) solar cells, coverglass-interconnect-cells or
covered-interconnect-cells (CIC) ``assemblies,'' solar arrays and/or
solar panels described in 3A001.e.4; (c) ``Monolithic Microwave
Integrated Circuit'' (``MMIC'') amplifiers in 3A001.b.2; (d)
discrete microwave transistors in 3A001.b.3; and (e) commodities
described in 3A090.
Note: See Sec. 740.2(a)(9)(ii) of the EAR for license exception
restrictions for ECCN 3E001 ``technology'' for commodities
controlled by 3A001.z, 3A090.
IEC: Yes, for ``technology'' for equipment controlled by 3B001.q,
see Sec. 740.2(a)(22) and Sec. 740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be used to ship or transmit
``technology'' according to the General Technology Note for the
``development'' or ``production'' of equipment specified by ECCNs
3A002.g.1 or 3B001.a.2 to any of the destinations listed in Country
Group A:6 (see supplement no.1 to part 740 of the EAR). License
Exception STA may not be used to ship or transmit ``technology''
according to the General Technology Note for the ``development'' or
``production'' of components specified by ECCN 3A001.b.2, b.3,
commodities specified in 3A090, to any of the destinations listed in
Country Group A:5 or A:6 (see supplement no. 1 to part 740 of the
EAR).
List of Items Controlled
Related Controls: (1) ``Technology'' according to the General
Technology Note for the ``development'' or ``production'' of certain
``space-qualified'' atomic frequency standards described in Category
XV(e)(9), MMICs described in Category XV(e)(14), and oscillators
described in Category XV(e)(15) of the USML are ``subject to the
ITAR'' (see 22 CFR parts 120 through 130). See also ECCNs 3E101,
3E201 and 9E515. (2) ``Technology'' for ``development'' or
``production'' of ``Microwave Monolithic Integrated Circuits''
(``MMIC'') amplifiers in 3A001.b.2 is controlled in this ECCN 3E001;
5E001.d refers only to that additional ``technology'' ``required''
for telecommunications.
Related Definition: N/A
Items: The list of items controlled is contained in the ECCN
heading.
Note 1: 3E001 does not control ``technology'' for equipment or
``components'' controlled by 3A003.
Note 2: 3E001 does not control ``technology'' for integrated
circuits controlled by 3A001.a.3 to a.14 or .z, having all of the
following:
(a) Using ``technology'' at or above 0.130 [micro]m; and
(b) Incorporating multi-layer structures with three or fewer
metal layers.
* * * * *
3E992 ``Technology'' for the ``production'' or ``development'' of
commodities specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2,
p.4, r; and 3B002.c.
License Requirements
Reason for Control: NS, RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
NS applies to the entire entry............ To or within Macau or a
destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.4(a)(4) of the EAR.
RS applies to the entire entry............ To or within Macau or a
destination specified in
Country Group D:5 of
supplement no. 1 to part
740 of the EAR. See Sec.
742.6(a)(6)(i) of the EAR.
NS applies to ``software'' for equipment Worldwide control. See Sec.
controlled by 3B001.c.1.a or c.1.c. 742.4(a)(5) and (b)(10) of
the EAR.
RS applies to ``software'' for equipment Worldwide control. See Sec.
controlled by 3B001.c.1.a or c.1.c. 742.6(a)(10) and (b)(11)
of the EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
TSR: N/A
IEC: Yes, for ``technology'' for equipment controlled by
3B001.c.1.a, and 3B001.c.1.c, see Sec. 740.2(a)(22) and Sec.
740.24 of the EAR.
Special Conditions for STA
STA: License Exception STA may not be used to ship or transmit
``technology'' specified in this ECCN to any of the destinations
listed in Country Group A:5 or A:6 (see supplement no. 1 to part 740
of the EAR).
List of Items Controlled
Related Controls: N/A
Related Definitions: N/A
Items: The list of items controlled is contained in the ECCN
heading.
3E993 ``Technology'' for the ``development'' or ``production'' of
commodities specified in 3B993 as follows.
License Requirements
Reason for Control: RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
RS applies to entire entry................ See Sec. 742.6(a)(11) of
the EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
TSR: N/A
[[Page 96830]]
Special Conditions for STA
STA: License Exception STA may not be used to ship or transmit
``technology'' specified in this ECCN to any of the destinations
listed in Country Group A:5 or A:6 (see supplement no. 1 to part 740
of the EAR).
List of Items Controlled
Related Controls: For additional controls that apply to this ECCN,
see also Sec. 744.11(a)(2)(v) and (a)(3) and Sec.
744.23(a)(4)(iii) of the EAR.
Related Definitions: N/A
Items:
a. ``Technology'' for the ``development'' or ``production'' of
commodities specified by 3B993.
b. ``Technology'' designed or modified to increase the number of
wafers processed per hour, averaged over any time interval, by
greater than 1%, of equipment specified in 3B001.f.1 or 3B993.f.1.
* * * * *
3E994 ``Technology'' for the ``development'' or ``production'' of
commodities specified in 3B994 and ``technology'' as follows (see
List of Items Controlled).
License Requirements
Reason for Control: RS, AT
Country chart (see Supp. No.
Control(s) 1 to part 738)
RS applies to entire entry................ See Sec. 742.6(a)(11) of
the EAR.
AT applies to entire entry................ AT Column 1.
List Based License Exceptions (See Part 740 for a Description of All
License Exceptions)
TSR: N/A
Special Conditions for STA
STA: License Exception STA may not be used to ship or transmit
``technology'' specified in this ECCN to any of the destinations
listed in Country Group A:5 or A:6 (see supplement no. 1 to part 740
of the EAR).
List of Items Controlled
Related Controls: For additional controls that apply to this ECCN,
see also Sec. 744.11(a)(2)(v) and (a)(3) and Sec.
744.23(a)(4)(iii) of the EAR.
Related Definitions: N/A
Items: The list of items controlled is contained in the ECCN
heading.
* * * * *
Matthew S. Borman,
Principal Deputy Assistant Secretary for Strategic Trade and Technology
Security.
[FR Doc. 2024-28270 Filed 12-2-24; 8:45 am]
BILLING CODE 3510-33-P