Implementation of Additional Export Controls: Certain Advanced Computing and Semiconductor Manufacturing Items; Supercomputer and Semiconductor End Use; Entity List Modification, 62186-62215 [2022-21658]

Download as PDF 62186 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations DEPARTMENT OF COMMERCE Bureau of Industry and Security 15 CFR Parts 734, 736, 740, 742, 744, 762, 772, and 774 [Docket No. 220930–0204] RIN 0694–AI94 Implementation of Additional Export Controls: Certain Advanced Computing and Semiconductor Manufacturing Items; Supercomputer and Semiconductor End Use; Entity List Modification Bureau of Industry and Security, Department of Commerce. ACTION: Interim final rule; request for comments. AGENCY: In this rule, the Bureau of Industry and Security (BIS) is amending the Export Administration Regulations (EAR) to implement necessary controls on advanced computing integrated circuits (ICs), computer commodities that contain such ICs, and certain semiconductor manufacturing items. In addition, BIS is expanding controls on transactions involving items for supercomputer and semiconductor manufacturing end uses, for example, this rule expands the scope of foreignproduced items subject to license requirements for twenty-eight existing entities on the Entity List that are located in China. BIS is also informing the public that specific activities of ‘‘U.S. persons’’ that ‘support’ the ‘‘development’’ or ‘‘production’’ of certain ICs in the PRC require a license. Lastly, to minimize short term impact on the semiconductor supply chain from this rule, BIS is establishing a Temporary General License to permit specific, limited manufacturing activities in China related to items destined for use outside China and is identifying a model certificate that may be used in compliance programs to assist, along with other measures, in conducting due diligence. ‘ DATES: a. Effective on October 7, 2022, are the following instructions: 7 (§ 740.2), 9 (§ 740.10), 11 (§ 742.6), 17 (§ 744.23), and 25 (supplement no. 1 to part 774). b. Effective on October 12, 2022, is the following instruction: 15 (§ 744.6). c. Effective on October 21, 2022, are the following instructions: 2 (§ 734.9), 3 (supplement no. 1 to part 734), 5 (supplement no. 1 to part 736), 8 (§ 740.2), 12 (§ 742.6), 14 (§ 744.1), 16 (§ 744.11), 18 (§ 744.23), 19 (supplement no. 4 to part 744), 21 (§ 762.2), 23 (§ 772.1), and 26 (supplement no. 1 to part 774). khammond on DSKJM1Z7X2PROD with RULES2 SUMMARY: VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 d. Comments must be received by BIS no later than December 12, 2022. ADDRESSES: Comments on this rule may be submitted to the Federal rulemaking portal (www.regulations.gov). The regulations.gov ID for this rule is: BIS– 2022–0025. Please refer to RIN 0694– AI94 in all comments. All filers using the portal should use the name of the person or entity submitting the comments as the name of their files, in accordance with the instructions below. Anyone submitting business confidential information should clearly identify the business confidential portion at the time of submission, file a statement justifying nondisclosure and referring to the specific legal authority claimed, and provide a non-confidential version of the submission. For comments submitted electronically containing business confidential information, the file name of the business confidential version should begin with the characters ‘‘BC.’’ Any page containing business confidential information must be clearly marked ‘‘BUSINESS CONFIDENTIAL’’ on the top of that page. The corresponding non-confidential version of those comments must be clearly marked ‘‘PUBLIC.’’ The file name of the non-confidential version should begin with the character ‘‘P.’’ Any submissions with file names that do not begin with either a ‘‘BC’’ or a ‘‘P’’ will be assumed to be public and will be made publicly available through https:// www.regulations.gov. FOR FURTHER INFORMATION CONTACT: For questions on the license requirements in this interim final rule, contact Eileen Albanese, Director, Office of National Security and Technology Transfer Controls, Bureau of Industry and Security, Department of Commerce, Phone: (202) 482–0092, Fax: (202) 482– 482–3355, Email: rpd2@bis.doc.gov. For emails, include ‘‘Advanced computing controls’’ or ‘‘Semiconductor manufacturing items control’’ as applicable in the subject line. For questions on the Entity List revisions, contact: Chair, End-User Review Committee, Office of the Assistant Secretary for Export Administration, Bureau of Industry and Security, Department of Commerce, Phone: (202) 482–5991, Email: ERC@ bis.doc.gov. SUPPLEMENTARY INFORMATION: I. Background With this interim final rule, the Commerce Department’s Bureau of Industry and Security (BIS) makes critical changes to the Export PO 00000 Frm 00002 Fmt 4701 Sfmt 4700 Administration Regulations (EAR) in two areas to address U.S. national security and foreign policy concerns. First, BIS imposes additional export controls on certain advanced computing semiconductor chips (chips, advanced computing chips, integrated circuits, or ICs), transactions for supercomputer end-uses, and transactions involving certain entities on the Entity List. Second, BIS adopts additional controls on certain semiconductor manufacturing items and on transactions for certain IC end use. Additional information about both areas of change is provided in the Overview of New Controls section. Some changes made in this interim final rule to address these two areas involve the same EAR provisions; in those cases, the preamble provides cross references to other areas in the rule that provide relevant additional information. This rule also solicits public comments on the changes included in this rule. The restrictions implemented in this rule follow extensive United States government consideration of the impact of advanced computing ICs, ‘‘supercomputers,’’ and semiconductor manufacturing equipment on enabling military modernization, including the development of weapons of mass destruction (WMD), and human rights abuses. The Government of the People’s Republic of China (PRC or China) has mobilized vast resources to support its defense modernization, including the implementation of its military-civil fusion development strategy, in ways that are contrary to U.S. national security and foreign policy interests. A. Additional Export Controls: Certain Advanced Computing Integrated Circuits (ICs); Supercomputer End-Uses; Entity List Modifications With this rule, BIS imposes new export controls on certain advanced computing semiconductor chips and computer commodities that contain such chips. Further, this rule implements an end-use control for certain items intended for a ‘‘supercomputer’’ located in or destined to the PRC. Advanced computing items and ‘‘supercomputers’’ can be used to enhance data processing and analysis capabilities, including through artificial intelligence (AI) applications. The PRC is rapidly developing exascale supercomputing capabilities and has announced its intent to become the world leader in AI by 2030. These advanced systems are capable of sophisticated data processing and analysis that has multiple uses, and are enabled by advanced ICs. These systems E:\FR\FM\13OCR2.SGM 13OCR2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations khammond on DSKJM1Z7X2PROD with RULES2 are being used by the PRC for its military modernization efforts to improve the speed and accuracy of its military decision making, planning, and logistics, as well as of its autonomous military systems, such as those used for cognitive electronic warfare, radar, signals intelligence, and jamming. Furthermore, these advanced computing items and ‘‘supercomputers’’ are being used by the PRC to improve calculations in weapons design and testing including for WMD, such as nuclear weapons, hypersonics and other advanced missile systems, and to analyze battlefield effects. In addition, advanced AI surveillance tools, enabled by efficient processing of huge amounts of data, are being used by the PRC without regard for basic human rights to monitor, track, and surveil citizens, among other purposes. With this rule, BIS seeks to protect U.S. national security and foreign policy interests by restricting the PRC’s access to advanced computing for its military modernization, including nuclear weapons development, facilitation of advanced intelligence collection and analysis, and for surveillance. BIS intends to impose controls on items subject to the EAR and U.S. person activities to limit the PRC’s ability to obtain advanced computing chips or further develop AI and ‘‘supercomputer’’ capabilities for uses that are contrary to U.S. national security and foreign policy interests. These controls are being imposed through this interim final rule to address immediate concerns with the PRC’s demonstrated intent and ability to use these items for activities of national security and foreign policy concern to the United States. As such, the advanced computing ICs and computer commodities that contain such ICs identified by this rule have been controlled for Regional Stability (RS) purposes. This rule also expands the scope of licensing requirements for 28 existing entities on the Entity List in supplement no. 4 to part 744 of the EAR that are located in China and were added to the Entity List between 2015 and 2021 to further address the national security and foreign policy concerns described above. BIS is interested in receiving comments regarding whether a broader or different scope of control is warranted for these ICs. B. Additional Export Controls: Certain Semiconductor Manufacturing Items; Integrated Circuits End Use Also with this rule, BIS imposes new export controls on certain semiconductor manufacturing items and activities involving the ‘‘development’’ or ‘‘production’’ of advanced integrated VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 circuits (packaged or unpackaged) in the PRC that meet specified criteria. Semiconductor manufacturing equipment can be used to produce ICs (packaged or unpackaged) for commercial applications, which has helped to transform the world and holds great commercial promise across a wide variety of industries and applications, including communications, health care, and transportation. However, semiconductor manufacturing equipment can also be used to produce various ICs (packaged or unpackaged) for WMD or other military applications, as well as applications that enable human rights violations or abuses, including but not limited to the advanced systems and ‘‘supercomputers’’ described above. Similar to their use in commercial products, the use of semiconductors has become vital in the ‘‘production’’ of military systems, particularly for advanced military systems, and may be used for purposes that are contrary to U.S. national security and foreign policy interests. The PRC government expends extensive resources to eliminate barriers between China’s civilian research and commercial sectors, and its military and defense industrial sectors. It also is developing and producing advanced integrated circuits (packaged or unpackaged) for use in weapons systems. Under the Export Control Reform Act of 2018 (ECRA), the United States shall control U.S. person activity related to nuclear explosive devices, missiles chemical or biological weapons, whole plants for chemical weapons precursors, foreign maritime nuclear projects, and foreign military intelligence services; BIS has already imposed some of these controls in § 744.6 of the EAR. But these controls generally only apply when the ‘‘U.S. person’’ has knowledge that their activities are contributing to prohibited end uses or end users. China’s militarycivil fusion effort makes it more difficult to tell which items are made for restricted end uses, thereby diminishing the effect of these existing controls. Accordingly, with this rule the United States is taking additional steps to inform the public that ‘support’ by ‘‘U.S. persons’’ related to the provision of items used to produce the most advanced semiconductors necessary for military programs of concern, such as missile programs or programs related to nuclear explosive devices, requires a license, even when the precise end use of such items cannot be determined by the ‘‘U.S. person.’’ BIS has already identified on the Entity List 28 entities in the PRC that are of concern for the national security PO 00000 Frm 00003 Fmt 4701 Sfmt 4700 62187 and foreign policy reasons identified in this rule. For example, four of these entities were determined to be involved with supercomputers in the PRC that are believed to be used in nuclear explosive activities. See 80 FR 8527, Feb. 18, 2015. Five of the other entities were added to the Entity List due to their involvement in exascale high performance computing and ties to military end uses and end users. See 84 FR 29373, June 24, 2019. Finally, seven of the remaining entities were added to the Entity List due to their involvement in activities that support China’s military actors, its destabilizing military modernization efforts, and/or its WMD programs. See 86 FR 18438, April 9, 2021. In addition, BIS notes that according to the April 9, 2021, Annual Threat Assessment of the U.S. Intelligence Community, China ‘‘will continue the most rapid expansion and platform diversification of its nuclear arsenal in its history, intending to at least double the size of its nuclear stockpile during the next decade and to field a nuclear triad’’ and ‘‘is building a larger and increasingly capable nuclear missile force that is more survivable, more diverse, and on higher alert than in the past, including nuclear missile systems designed to manage regional escalation and ensure an intercontinental secondstrike capability.’’ The types of semiconductor manufacturing items controlled in this rule under new itembased and end-use-based controls produce advanced integrated circuits that can be used in the ‘‘development,’’ ‘‘production,’’ or ‘‘use’’ of such military items with WMD application. In particular, the ability to produce indigenously within China these types of advanced ICs (packaged or unpackaged) would be contrary to U.S. national security and foreign policy interests. As more fully discussed in Section IV.C below, this rule will more comprehensively control ‘‘U.S. persons’’ ‘support’ for the ‘‘development’’ or ‘‘production’’ of ICs (packaged or unpackaged) that could contribute to WMD applications. Advanced logic, certain NOT AND (NAND), and dynamic random-access memory (DRAM) chips have more significant military, intelligence, and security applications, including missile, nuclear, and conventional weapons applications. Advanced ICs (packaged or unpackaged) with smaller physical dimensions (e.g., produced at more advanced technology nodes) are of national security concern because of the faster and more efficient microelectronic operation, greater data storage capability, and greater E:\FR\FM\13OCR2.SGM 13OCR2 62188 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations computational efficiencies that these ICs (packaged or unpackaged) possess. For example, a BIS rule from August 15, 2022 (87 FR 49981), stated that reasons why Gate-All-Around transistor technology are the key to next generation integrated circuits. This architecture allows for higher current capability and lower parasitic capacitances that enable 50 percent faster chip operation compared to bulk technologies. It is also inherently radiation hardened. Chips with these characteristics would advance many commercial as well as military applications, including defense and communication satellites. Because faster and more efficient chip operation enables superior processing and aggregation critical for WMD applications (e.g., data volumes and computational loads necessary to model nuclear explosions, and missile simulations), it is necessary and consistent with the Export Control Reform Act of 2018 (ECRA) to impose this ‘‘U.S. persons’’ activity control under the EAR for ‘support,’ including the provision of services and foreignproduced items not subject to the EAR, but capable of producing such integrated circuits (e.g., advanced logic, NAND, and DRAM integrated circuits). With this rule, BIS intends to limit the PRC’s ability to obtain semiconductor manufacturing capabilities to produce ICs (packaged or unpackaged) for uses that are contrary to U.S. national security and foreign policy interests. khammond on DSKJM1Z7X2PROD with RULES2 II. Item-Based Controls on Semiconductor Manufacturing Equipment As of the effective date of this rule on October 7, 2022, the specified semiconductor manufacturing equipment is controlled for RS reasons under the EAR, in order to immediately address concerns with the PRC’s demonstrated intent and ability to use the specified items for activities of U.S. national security and foreign policy concern. Due to the urgent need for this rule to counter China’s actions, it will not be published as a Section 1758 technology rule, which would include a notice and comment period (50 U.S.C. 4817(a)(2)(C)). However, BIS is interested in hearing from the public about the items in this rule and the scope of the new control. III. Overview of New Controls for Certain Advanced Computing Integrated Circuits (ICs); Supercomputer End-Uses; Entity List Modifications This rule addresses U.S. national security and foreign policy concerns by: VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 (1) adding to the Commerce Control List (CCL) (supplement no. 1 to part 774 of the EAR) certain advanced computing chips and the computers, ‘‘electronic assemblies,’’ and ‘‘components’’ that contain them; (2) establishing a new end-use control for certain CCL items destined for ‘‘supercomputers’’; and (3) creating two new Foreign Direct Product (FDP) rules related to advanced computing and ‘‘supercomputers’’ and expanding an existing FDP rule for certain entities listed on the Entity List. A. Addition of Advanced Computing Chips, Computer Commodities That Contain Them, and Associated ‘‘Software’’ and ‘‘Technology’’ to the Commerce Control List (Supplement no. 1 to Part 774 of the EAR) In the CCL, this rule adds new Export Control Classification Numbers (ECCNs) 3A090 for specified high-performance ICs and 4A090 (computers, ‘‘electronic assemblies,’’ and ‘‘components,’’ not elsewhere specified (n.e.s.), containing ICs in ECCN 3A090). Both new ECCNs are controlled for RS reasons for exports or reexports to the PRC, through the addition of a new RS control in § 742.6(a)(6) of the EAR. The two ECCNs are also controlled for anti-terrorism (AT) reasons when destined to a country that has an AT:1 license requirement (Iran § 742.8, Syria § 742.9, or N. Korea § 742.19); see also parts 744 and 746 of the EAR for additional controls on items controlled for AT reasons. Associated ‘‘software’’ and ‘‘technology’’ controls on the CCL for the items controlled in ECCNs 3A090 and 4A090 are found in ECCNs 3D001, 3E001, 4D090, and 4E001, respectively, this rule controls the ‘‘software’’ and ‘‘technology’’ for RS reasons when destined to the PRC, in addition to the other reasons described in those ECCN entries. This rule revises Category 3, Product Group A, Note 3 because controls for wafers (finished or unfinished) are now in multiple ECCNs in Category 3. As discussed above, to align the new RS license requirements for ECCNs 3A090 and 4A090 in the associated ‘‘technology’’ and ‘‘software’’ ECCNs, the new RS license requirement has been added to the License Requirement tables within ECCNs 3D001, 3E001, and 4E001 for these items. Additionally, BIS is adding RS license requirements to the License Requirement tables within ECCNs 5A992 and 5D992 to address circumstances when these ECCNs meet or exceed the performance parameters of ECCN 3A090 or 4A090. New ECCN 4D090 is also created to accommodate the software associated with the items controlled in ECCN PO 00000 Frm 00004 Fmt 4701 Sfmt 4700 4A090, as such controls could not be readily added to ECCN 4D001. B. License Requirements for New Advanced Computing Items This rule establishes a new unilateral RS control and brings the newly identified advanced computing integrated circuits and related computers under the control. If a relevant multilateral export control regime adopts controls for the identified technology, BIS will adopt multilateral controls in place of the unilateral control. This rule also adds a new basis for RS controls to § 742.6 of the EAR. This newly added RS control imposes a license requirement for exports, reexports, and transfers (in-country) of identified items to or within the PRC. The license requirements under this new RS control for advanced computing chips and computer commodities that contain them found in new § 742.6(a)(6). The license requirements in § 742.6(a)(6) do not apply to deemed exports or reexports. In addition, this RS control imposes a license requirement for the export from the PRC to any destination worldwide of technology for the design, development, or production of advanced computing chips (i.e., 3E001 for 3A090), which has been developed by an entity headquartered in the PRC, is the ‘‘direct product’’ of certain software subject to the EAR, and is for the ‘‘production’’ of certain advanced computing integrated circuits and computers or assemblies containing them, consistent with § 734.9(h)(1)(i)(B)(1) and (h)(2)(ii). BIS is implementing this license requirement given the historical precedent of chips designed by PRC entities being diverted for use in the PRC to support PRC military modernization, and the inherent risk of this occurring with these advanced computing chips. Parties to such transactions should consider obtaining proof of the ultimate end use, such as the Model Certificate described in supplement no. 1 to part 734. Entities outside of the PRC that receive 3E001 for 3A090 technology from China should consider confirming that a license was obtained to export such technology from China. If no such license was obtained, General Prohibition 10 (§ 736.2(b)(10) of the EAR) prohibits any person from taking any further action with respect to such technology that has been exported without a required BIS license. The license review policy for this new RS control is added to a new § 742.6(b)(10) of the EAR. Most license applications for items controlled under this RS control will be reviewed under a presumption of denial based on the E:\FR\FM\13OCR2.SGM 13OCR2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations risk of these items being used contrary to the national security or foreign policy interests of the United States, including the foreign policy interest of promoting the observance of human rights throughout the world. The exception to the presumption of denial is for license applications for semiconductor manufacturing items destined to end users located in China that are headquartered in the United States or in a country in Country Group A:5 or A:6; license applications involving such end users will be considered on a case-bycase basis, taking into account factors including technology level, customers and compliance plans. khammond on DSKJM1Z7X2PROD with RULES2 C. Anti-Terrorism Controls for LowerLevel Computing ICs and Computer Commodities That Contain Them In the CCL, this rule also revises ECCN 3A991 by adding a new paragraph 3A991.p (specified highperformance ICs) and revises ECCN 4A994 by adding new paragraph 4A994.l (computers, ‘‘electronic assemblies,’’ and ‘‘components,’’ not elsewhere specified (n.e.s.), containing ICs in 3A991.p). These ECCNs, including these new paragraphs, are controlled for anti-terrorism (AT Column 1) reasons. Associated ‘‘software’’ and ‘‘technology’’ controls for ECCNs 3A991.p and 4A994.l are found in ECCNs 3D991, 3E991, 4D994, and 4E992, respectively. The Related Control Notes of ECCNs 3A991 and 4A994 are amended to alert the reader about associated technology and software ECCNs. As noted above, license requirements for AT Column 1 items are identified in parts 742, 744, and 746 of the EAR. Deemed exports and reexports of technology and software that previously did not require a license, but now require a license because of the controls implemented by this rule, will only require licenses if the technology or software release exceeds the scope of the technology or software that the foreign national already had lawful access to prior to the controls implemented in this rule, e.g., a foreign national who lawfully accessed technology or software specified in new ECCN paragraphs 3A991.p or 4A994.l items prior to the effective date would not need a new license to continue receiving the same technology or software for ECCN paragraphs 3A991.p or 4A994.l items, but would require a license for the release of controlled technology or software different from that previously release, even if the technology or software is classified under the same ECCNs. VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 This rule makes an editorial revision to the heading of ECCNs 3D001 and 4D994 by replacing the word ‘‘equipment’’ with ‘‘commodities.’’ This is to ensure that these ECCNs control software for not only equipment, but also parts, components, and assemblies. D. License Exception Eligibility for New Advanced Computing Items The only license exceptions available for exports or reexports of items controlled under the new ECCNs (3A090, 4A090, and the associated software and technology in 3D001, 3E001, 4D090, and 4E001) are listed in new § 740.2(a)(9) of the EAR. Similar to existing paragraph (a)(8), this new paragraph contains a list of appropriate license exceptions for the license requirements implemented in this rule. This restriction on the availability of license exceptions also applies to any integrated circuit, computer, or assembly meeting the performance parameters of new ECCNs 3A090 and 4A090 but classified elsewhere on the CCL (e.g., under ECCN 5A002 due to encryption functionality). The only license exceptions available for the foregoing items are: Servicing and replacement of parts and equipment (RPL) under § 740.10; Governments, international organizations, International Inspections Under the Chemical Weapons Convention, and the International Space Station (GOV), restricted to eligibility under the provisions of § 740.11(b)(2)(ii) (exports, reexports, and transfers (in-country) made by or consigned to a department or agency of the United States Government); and Technology and Software Unrestricted (TSU), under the provisions of § 740.13(a) and (c). License Exceptions RPL and TSU require that the equipment or software must have been shipped to their current location in accordance with U.S. law and continue to be legally used, therefore these license exceptions will authorize support, i.e., repairs and software updates, for items that were lawfully exported. These license exceptions will not overcome the new license requirement imposed in this interim final rule under new § 744.23 ‘‘Supercomputer’’ and semiconductor manufacturing end use’’),’’ implemented in this interim final rule, because no license exceptions are available to overcome the license requirement in that provision of the EAR. As discussed further below, new § 744.23 applies restrictions on the use of license exceptions to or within China. BIS estimates these new license requirements will result in an additional PO 00000 Frm 00005 Fmt 4701 Sfmt 4700 62189 1,600 license applications being submitted to BIS annually. E. Revising the Entity List Foreign Direct Product Rule Under § 734.9(e) and Establishing Two New Foreign Direct Product Rules for Advanced Computing and ‘‘Supercomputers’’ Under § 734.9(h) and (i) In § 734.9 (Foreign-Direct Product (FDP) Rules), this rule revises § 734.9(e) (Entity List FDP rule) to add a new product scope and end-user scope for entities on the Entity List identified with a new footnote 4 and adds new paragraphs (h) (Advanced computing FDP rule) and (i) (‘‘Supercomputer’’ end-use FDP rule) to the EAR. As with the other FDP rules, these new FDP rules define when certain foreign made items are subject to the EAR. License requirements associated with these foreign direct products are found in § 742.6(a)(6) of the EAR, as well as in new § 744.23, described below. The license requirement for the Entity List entities designated with footnote 4, is found in a new § 744.11(a)(2)(ii) of the EAR and in such entities’ entries in supplement no. 4 to part 744, as described below. 1. Revised Entity List FDP Rule The revised Entity List FDP rule, set forth in § 734.9(e), now identifies two footnotes on the Entity List that indicate application of an Entity List FDP rule. The revision made in this interim final rule does not alter the scope or requirements of the existing Entity List FDP rule that applies to entities designated with footnote 1 on the Entity List, but this revision required BIS to renumber the paragraphs of the existing Entity List FDP rule. This rule also revises the heading of paragraph (e)(1)(i)(B) to reflect alignment with the unchanged scope of the paragraph, as the plant or ‘major component’ of the plant that must be a ‘‘direct product’’ of U.S.-origin ‘‘technology’’ or ‘‘software.’’ This new Entity List FDP rule states that any foreign-produced item is subject to the EAR if: (1) it meets the product scope in § 734.9(e)(2)(i)—either paragraph (e)(2)(i)(A) or (B); and (2) there is ‘‘knowledge’’ that an entity designated with footnote 4 on the Entity List is either involved in any of the activities in paragraph (e)(2)(ii)(A) or is a party to the transaction as described in paragraph (e)(2)(ii)(B). 2. Advanced Computing FDP Rule The new ‘‘Advanced computing FDP rule’’ under paragraph (h) indicates that any foreign-produced item is subject to the EAR if it meets the product scope in § 734.9(h)(1)—either paragraph (h)(1)(i) E:\FR\FM\13OCR2.SGM 13OCR2 khammond on DSKJM1Z7X2PROD with RULES2 62190 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations or (ii)—and destination scope in paragraph (h)(2). Paragraph (h)(1)(i) (‘‘Direct product’’ of ‘‘technology’’ or ‘‘software’’) specifies that a foreignproduced item meets the product scope of this new advanced computing FDP rule if it meets the conditions identified in (both) paragraphs (h)(1)(i)(A) (i.e., the foreign-produced item is the ‘‘direct product’’ of certain specified ‘‘software’’ or ‘‘technology’’ subject to the EAR) and (B) (the foreign-produced item is specified in new ECCN 3A090 or 4A090 or is an integrated circuit, computer, ‘‘electronic assembly,’’ or ‘‘component’’ specified elsewhere on the CCL which meets or exceeds the limit in the performance parameters of ECCN 3A090 or 4A090, or is an item used in the ‘‘development,’’ ‘‘production,’’ ‘‘use,’’ operation, installation (including on-site installation), maintenance (checking), repair, overhaul, or refurbishing of any item in the PRC used in the ‘‘development’’ or ‘‘production,’’ of certain integrated circuits). The product scope in § 734.9(h) also includes foreign-produced items specified in ECCN 3A090 or 4A090 or other specified items that are products of a complete plant or ‘major component’ of a plant, whether made in the United States or a foreign country, that itself is a ‘‘direct product’’ of certain specified U.S.-origin ‘‘technology’’ or ‘‘software.’’ Paragraph (h)(2) (Destination scope) specifies that a foreign-produced item meets the destination scope of this paragraph if there is ‘‘knowledge’’ that the foreign-produced item is being exported, reexported, or transferred (incountry) to or within the PRC, or being incorporated into any ‘‘part,’’ ‘‘component,’’ ‘‘computer,’’ or ‘‘equipment’’ destined to the PRC. made in the United States or a foreign country, that itself is a ‘‘direct product’’ of certain specified U.S.-origin ‘‘technology’’ or ‘‘software.’’ The product scope for this FDP rule generally matches the product scope for the new ‘‘supercomputer’’ end use rule in § 744.23 of the EAR. Paragraph (i)(2) (Country and end-use scope) of § 734.9(i) specifies that a foreign-produced item meets the country and end-use scope if there is ‘‘knowledge’’ that the foreign produced items will be 1) used in the design, ‘‘development,’’ ‘‘production,’’ operation, installation (including on-site installation), maintenance (checking), repair, overhaul, or refurbishing of a ‘‘supercomputer’’ located in or destined to the PRC; or 2) incorporated into, or used in the ‘‘development,’’ or ‘‘production,’’ of any ‘‘part,’’ ‘‘component,’’ or ‘‘equipment’’ that will be used in a ‘‘supercomputer’’ located in or destined to the PRC. The end-use scope for this FDP rule generally matches the end-use requirement for the new ‘‘supercomputer’’ end-use control in § 744.23 of the EAR. Because the product scope, end-use scope, and country scope of this FDP rule generally match the license requirements in § 744.23 of the EAR, items that meet the terms of this foreign direct product rule should also require a license under § 744.23 of the EAR. Relatedly, § 772.1 of the EAR is amended by adding a definition for ‘‘supercomputer,’’ as follows: ‘‘A computing ‘‘system’’ having a collective maximum theoretical compute capacity of 100 or more double-precision (64-bit) petaflops or 200 or more singleprecision (32-bit) petaflops within a 41,600 ft3 or smaller envelope.’’ 3. Supercomputer End-Use FDP Rule The new ‘‘Supercomputer end-use FDP rule’’ under § 734.9(i) of the EAR makes any foreign-produced item subject to the EAR if it meets the product scope in paragraph (i)(1)— either paragraph (i)(1)(i) or (ii)—and the end-use and country scope in paragraph (i)(2) of § 734.9. Paragraph (i)(1)(i) (‘‘Direct product’’ of ‘‘technology’’ or ‘‘software’’) of this new Supercomputer end-use FDP rule specifies that a foreign-produced item meets the product scope if it meets the conditions identified in paragraph (i)(1)(i), i.e., meaning the foreign-produced item is the ‘‘direct product’’ of certain specified ‘‘technology’’ or ‘‘software’’ subject to the EAR. The product scope also includes foreign-produced items that are the products of a complete plant or ‘major component’ of a plant, whether F. Instituting a New End-Use and EndUser Control for ‘‘Supercomputers’’ Under § 744.23 of the EAR In part 744 (End-Use and End-User Controls), this rule adds a new § 744.23 (‘‘Supercomputer’’ and semiconductor end use). New § 744.23 imposes an enduse control that is supplemental to CCLbased license requirements and adds two prohibitions under paragraphs (a) and (b). Paragraph (a) specifies that you may not export, reexport, or transfer (incountry) an item meeting the product scope in paragraph (a)(1) when you have ‘‘knowledge’’ at the time of export, reexport, or transfer (in-country) that the item will be used, directly or indirectly, in an applicable end use in paragraph (a)(2). In addition, new paragraph (a)(1)(iii) imposes a license requirement on any item subject to the EAR when you have ‘‘knowledge’’ at the time of the VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 PO 00000 Frm 00006 Fmt 4701 Sfmt 4700 export, reexport, or transfer (in-country) that the item is destined for a specified end use, i.e., the ‘‘development’’ or ‘‘production’’ of integrated circuits at a semiconductor fabrication ‘‘facility’’ located in China that fabricates certain integrated circuits. Paragraph (a)(1) sets forth the product scope, which generally aligns with the new Supercomputer FDP rule in § 734.9(i), but this license requirement also applies to U.S.-origin items and other items subject to the EAR—not just the foreign-produced items subject to the EAR under the Supercomputer FDP rule. Paragraph (a)(2) specifies the end-use scope, which includes the design, ‘‘development,’’ ‘‘production,’’ operation, installation (including on-site installation), maintenance (checking), repair, overhaul, or refurbishing of a ‘‘supercomputer’’ located in or destined to the PRC; incorporation of an item meeting the product scope of paragraph (a)(1) into any ‘‘component’’ or ‘‘equipment’’ that will be used in a ‘‘supercomputer’’ located in or destined to the PRC; the ‘‘development’’ or ‘‘production,’’ of integrated circuits at a semiconductor fabrication ‘‘facility’’ located in the PRC that fabricates integrated circuits with specified parameters or if you do not know whether such semiconductor fabrication ‘‘facility’’ can produce such integrated circuits; or the ‘‘development,’’ ‘‘production,’’ ‘‘use,’’ operation, installation (including on-site installation), maintenance (checking), repair, overhaul, or refurbishing of any item in the PRC used in the ‘‘development’’ or ‘‘production,’’ of integrated circuits. This rule adds paragraph (b) (Additional prohibition on persons informed by BIS) to new § 744.23 to include an ‘‘is informed’’ process similar to other part 744 end-use controls. New paragraph (b) specifies that BIS may inform persons, either individually by specific notice or through amendment to the EAR, that a license is required for certain exports, reexports, or transfers (in-country) of any item subject to the EAR to a certain end user because there is an unacceptable risk of use in, or diversion to, the activities specified in paragraph (a)(1) of § 744.23. Consistent with other ‘‘is informed’’ provisions of the EAR, this rule specifies in paragraph (b) that a specific notice may be given only by, or at the direction of, the Deputy Assistant Secretary for Export Administration. In addition, paragraph (b) specifies that when such notice is provided orally, it will be followed by a written notice within two working E:\FR\FM\13OCR2.SGM 13OCR2 khammond on DSKJM1Z7X2PROD with RULES2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations days. This rule also clarifies that the absence of any such notification under paragraph (b) does not excuse persons from compliance with the license requirements of paragraph (a)(1) or (2) of § 744.23 of the EAR. This rule also adds paragraph (c) to new § 744.23 to specify that no license exceptions are available to overcome the license requirements in § 744.23. As with other end-use controls in part 744 of the EAR, this limitation on license exceptions applies even if the items also require a license under another provision of the EAR that is not so limited. For example, even if an item categorized under ECCN 3A001 is ordinarily eligible for export to China under License Exception RPL (for replacement parts), it would not be eligible for License Exception RPL if it is for a ‘‘supercomputer’’ that is located in or destined to the PRC. Finally, this rule adds paragraph (d) (License Review Standards) to specify that there is a presumption of denial for applications to export, reexport, or transfer (in-country) of items that meet the product scope in paragraph (a)(1) of § 744.23 and the end use scope of paragraph (a)(2) of that section, except for certain end users in China that are headquartered in the United States or in a Country Group A:5 or A:6 country. This license review standard applies even though the items subject to this end-use control may require licenses to the PRC or other destinations for multiple reasons, including for reasons that have a more favorable licensing policy (e.g., 3A001 items require a license for China and would normally be reviewed under the license review policy described in § 742.4(b)(7), but for an end-use described in new § 744.23, BIS will review the license application under the presumption of denial policy described above). The new paragraph also specifies that when an entity listed under supplement no. 4 to part 744 of the EAR (i.e., the Entity List) and designated with a reference to footnote 4 are a party to the transaction, the license review policy for foreignproduced items subject to a license requirement is set forth in such entity’s entry in supplement no. 4 to part 744 of the EAR. BIS estimates new license requirements under § 744.23 will result in an additional five (5) license applications being submitted to BIS annually. In § 744.1 (General provisions), as a conforming change to addition of § 744.23, this rule adds one sentence to specify that the end use and end-user controls in part 744 also extend to those in new § 744.23. VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 Provisions of this paragraph regarding the ‘‘development’’ or ‘‘production,’’ of integrated circuits at certain semiconductor manufacturing ‘‘facilities’’ located in China are described below in Section IV.B of this preamble. G. Revisions to the Entity List Under Supplement No. 4 to Part 744 of the EAR 1. Overview of Entity List The Entity List (supplement no. 4 to part 744 of the EAR) identifies entities for which there is reasonable cause to believe, based on specific and articulable facts, that the entities have been involved, are involved, or pose a significant risk of being or becoming involved in activities contrary to the national security or foreign policy interests of the United States. The EAR imposes additional license requirements on and limits the availability of most license exceptions for exports, reexports, and transfers (in-country) to listed entities. The license review policy for each listed entity is identified in the ‘‘License Review Policy’’ column on the Entity List, and the impact on the availability of license exceptions is described in the relevant Federal Register document that added the entity to the Entity List. Any license application for an export, reexport, or transfer (in-country) involving an entity on the Entity List that is subject to an additional EAR license requirement will also be reviewed in accordance with the license review policies in the sections of the EAR applicable to those license requirements. For example, for Russian entities on the Entity List, if the export, reexport, or transfer (in-country) is subject to a license requirement in § 746.6, § 746.8, or § 746.10, the license application will be reviewed in accordance with the license review policies in those sections in addition to the specified license review policy under the Entity List entry. BIS places entities on the Entity List pursuant to parts 744 (Control Policy: End-User and End-Use Based) and 746 (Embargoes and Other Special Controls) of the EAR. Paragraphs (b)(1) through (5) of § 744.11 include an illustrative list of activities contrary to the national security or foreign policy interests of the United States. The End-User Review Committee (ERC), composed of representatives of the Departments of Commerce (Chair), State, Defense, Energy and, where appropriate, the Treasury, makes all decisions regarding additions to, removals from, or other modifications to PO 00000 Frm 00007 Fmt 4701 Sfmt 4700 62191 the Entity List. The ERC makes all decisions to add an entry to the Entity List by majority vote and makes all decisions to remove or modify an entry by unanimous vote. 2. Entity List Decisions: Revisions to the Entity List This rule expands the scope of licensing requirements for 28 existing entities on the Entity List that are located in the PRC and were added to the Entity List between 2015 and 2021. Certain of the entities are developing supercomputers believed to be used in nuclear explosive activities; these entities have been placed on the Entity List triggering license requirements for items destined to those specific entities. For example, see 80 FR 8527, Feb. 18, 2015 (‘‘National University of Defense Technology (NUDT) has used U.S.origin multicores, boards, and (co)processors to produce the TianHe1A and TianHe-2 supercomputers located at the National Supercomputing Centers in Changsha, Guangzhou, and Tianjin. The TianHe-1A and TianHe-2 supercomputers are believed to be used in nuclear explosive activities as described in § 744.2(a) of the EAR.’’) Similarly, BIS has added multiple other Chinese entities involved in the ‘‘development’’ and ‘‘production’’ of integrated circuits to the Entity List based on their involvement with WMD as well as military end uses and end users. For example, on April 9, 2021 (86 FR 18437), BIS added seven Chinese entities to the Entity List ‘‘on the basis of their procurement of U.S.-origin items for activities contrary to the national security and foreign policy interests of the United States. Specifically, these entities are involved in activities that support China’s military actors, its destabilizing military modernization efforts, and/or its [WMD] programs.’’ The types of computing facilities located at these entities are used for designing stealth technologies, space planes, hypersonic missiles, and other military applications including nuclear weapons design. Most specifically, with the April 9 rule, BIS added chip developer Tianjin Phytium Information Technology (also known as Phytium) to the Entity List. Even though the license requirement for these entities remains all items subject to the EAR, this rule changes the scope of items subject to the EAR for transactions involving these entities through the revised Entity List FDP rule in § 734.9(e)(2) of the EAR and adds a new license requirement in § 744.11 of the EAR that is specific to foreign produced items for these entities, both discussed elsewhere in this interim final E:\FR\FM\13OCR2.SGM 13OCR2 khammond on DSKJM1Z7X2PROD with RULES2 62192 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations rule. This rule adds a footnote 4 to the entities, and a reference to the Entity List FDP rule in the license requirements column of the Entity List. With these changes, additional foreignproduced items will now be subject to the EAR and require a license when destined to or for these 28 entities. The agencies represented on the ERC have approved the changes. The 28 revised entities are: • Beijing Institute of Technology; • Beijing Sensetime Technology Development Co., Ltd.; • Changsha Jingjia Microelectronics Co., Ltd.; • Chengdu Haiguang Integrated Circuit; • Chengdu Haiguang Microelectronics Technology; • China Aerospace Science and Technology Corporation (CASC) 9th Academy 772 Research Institute • Dahua Technology; • Harbin institute of technology; • Higon; • IFLYTEK; • Intellifusion; • Megvii Technology; • National Supercomputer Center Zhengzhou; • National Supercomputing Center Changsha (NSCC–CS); • National Supercomputing Center Guangzhou (NSCC–GZ); • National Supercomputing Center Jinan; • National Supercomputing Center Shenzhen; • National Supercomputing Center Tianjin (NSCC–TJ); • National Supercomputing Center Wuxi (NSCC–WX); • National University of Defense Technology; • New H3C Semiconductor Technologies Co., Ltd.; • Northwestern Polytechnical University; • Shanghai High-Performance Integrated Circuit Design Center; • Sugon; • Sunway Microelectronics; • Tianjin Phytium Information Technology; • Wuxi Jiangnan Institute of Computing Technology; and • Yitu Technologies. To assist with clarity, this rule revises § 744.11 by making editorial changes to the paragraph that imposes a license requirement on foreign-produced items for footnote 1 entities. This rule adds double quotes around the term ‘‘direct product’’ in the paragraph heading for footnote 1 entities, because that term is defined in part 772, and updates the citation and description of the prohibition for footnote 1 entities in VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 paragraph (e)(1)(i). This rule also adds paragraph (a)(2) to impose a license requirement on foreign-produced items for footnote 4 entities. The new paragraph prohibits, without a license, the reexport, export from abroad, or transfer (in-country) of any foreignproduced item subject to the EAR pursuant to § 734.9(e)(2)(i) of the EAR when an entity designated with footnote 4 on the Entity List in supp. no. 4 to part 744 of the EAR is a party to the transaction. This prohibition on foreignproduced items for these identified Chinese entities is necessary because many supercomputer parts and components based on U.S. technology and software are not produced in the United States, and more conventional export control measures would not effectively limit the U.S. contribution to Chinese advanced computing efforts by these entities. IV. Overview of New Controls: Certain Semiconductor Manufacturing Items; and Integrated Circuits End Use This rule further addresses U.S. national security and foreign policy concerns by making three changes related to semiconductor manufacturing equipment. First, BIS adds to the CCL certain advanced semiconductor manufacturing equipment under a new ECCN 3B090, controlled for RS and AT reasons of control with limited license exception availability. It also adds references to the new ECCN 3B090 under the related ‘‘software’’ and ‘‘technology’’ controls under ECCNs 3D001 and 3E001. Second, this rule establishes a new end-use control for any item subject to the EAR when the exporter, reexporter, or transferor knows the item is for ‘‘development’’ or ‘‘production’’ of ICs (packaged or unpackaged) at a semiconductor fabrication ‘‘facility’’ located in the PRC that fabricates ICs (packaged or unpackaged) that meet certain specified criteria under § 744.23. Finally, this rule informs the public that certain specific ‘‘U.S. persons’’ activity to ‘support’ the ‘‘development’’ or ‘‘production’’ of ICs (packaged or unpackaged) that meet certain criteria under § 744.6 of the EAR requires a license. A. Addition of Semiconductor Manufacturing Equipment, and Associated ‘‘Software’’ and ‘‘Technology’’ to the Commerce Control List (Supplement No. 1 to Part 774 of the EAR) This rule adds new ECCN 3B090 to the CCL for specified semiconductor manufacturing equipment. The new ECCN is controlled for RS reasons and a license is required when the items it PO 00000 Frm 00008 Fmt 4701 Sfmt 4700 controls are destined to the PRC. This rule imposes this license requirement by adding ECCN 3B090 to an RS control in § 742.6(a)(6) of the EAR. ECCN 3B090 will also be controlled for AT reasons when destined to a country that has AT:1 license requirement (Iran § 742.8, Syria § 742.9, or North Korea § 742.19); see also parts 744 and 746 of the EAR for additional controls on items controlled for AT reasons. Associated ‘‘software’’ and ‘‘technology’’ controls in the CCL for items in ECCN 3B090 are found in ECCNs 3D001 and 3E001, respectively; the ‘‘software’’ and ‘‘technology’’ is also controlled for RS reasons (which this rule adds as a new reason for control) when destined to the PRC, and for other reasons described in the ECCN entries. Specifically, this rule adds the new RS license requirement to the License Requirement tables within ECCNs 3D001 and 3E001. As described in new § 742.6(b)(10), license applications for semiconductor manufacturing items, such as semiconductor equipment, destined to end users in China that are headquartered in the United States or in a country in Country Group A:5 or A:6 will be considered on a case-by-case basis, taking into account factors including technology level, customers and compliance plans. License requirements for AT Column 1 items are identified in part 742 of the EAR; the items subject to these requirements are also subject to the enduse and end-user controls in part 744 of the EAR as well as many of the country and sector controls imposed in part 746 of the EAR, including controls that apply to Russia and Belarus under § 746.8(a)(1) of the EAR. If, in the future, a multilateral export control regime adopts controls for the specified items controlled in this interim final rule, BIS will amend the controls implemented in this rule as needed to implement multilateral controls in place of the unilateral control. The only license exception available for exports or reexports of items controlled under new ECCN 3B090 (and the associated software and technology in ECCNs 3D001 and 3E001) is listed under § 740.2(a)(9) of the EAR, which is an existing paragraph that contains a list of license exceptions that are appropriate for the license requirements implemented in this rule. The only available license exception is License Exception Governments, International organizations, international inspections under the Chemical Weapons Convention, and the International Space Station (GOV), restricted to eligibility under the provision of § 740.11(b)(2)(ii) E:\FR\FM\13OCR2.SGM 13OCR2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations khammond on DSKJM1Z7X2PROD with RULES2 (exports, reexports, and transfers (incountry) made by or consigned to a department or agency of the United States Government). BIS estimates these new license requirements and the restrictions on license exceptions described below will result in an additional fifty (50) license applications being submitted to BIS annually. B. Instituting a New End-Use Control for Any Item Subject to the EAR for the ‘‘Development’’ or ‘‘Production,’’ of Integrated Circuits at Certain Semiconductor Manufacturing ‘‘Facilities’’ Located in the PRC In part 744 (End-Use and End-User Controls), this rule adds § 744.23 (‘‘Supercomputers’’ and semiconductor manufacturing end use), to impose an end-use control that is supplemental to CCL-based license requirements. BIS imposes the new end-use control by adding prohibitions under paragraphs (a)(1)(iii) through (v). Paragraph (a) specifies that you may not export, reexport, or transfer (in-country) an item meeting the product scope in paragraph (a)(1) when you have ‘‘knowledge’’ at the time of export, reexport, or transfer (in-country) that the item will be used, directly or indirectly, in an applicable end use in paragraph (a)(2). As with all end-use controls under the EAR, exporters, reexporters, and transferors are responsible for reviewing their transactions in accordance with the ‘‘Know Your Customer’’ Guidance in supplement no. 3 to part 732 of the EAR. If your customer is a semiconductor manufacturing ‘‘facility’’ involved in the end uses set forth in paragraph (a)(2) of § 744.23, in addition to the best practice of obtaining and end-use statement from your customer, you should also evaluate all other available information to determine whether a license is required pursuant to § 744.23. If your customer is a reseller, distributor, or other intermediary transaction party, it is a good compliance practice to attempt to obtain confirmation of the actual end use and end user of your products. If the intermediary party (e.g., reseller, distributor) cannot furnish these details at the time of the proposed export or reexport because it is a prospective order and no specific customer has yet been identified, as a good compliance practice you may attempt to obtain a written statement that the intermediary party understands the license requirements in § 744.23 and will either: (a) inform you of the actual end use and end user, once known, so you may evaluate whether a license is required for any proposed in-country transfer, or VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 (b) evaluate the end use and end user and apply for any required license for any proposed in-country transfer. The new prohibition this rule adds to § 744.23(a)(1)(iii) through (v) and (a)(2)(iii) through (v) is subject to BIS’s ‘‘is informed’’ process under paragraph (b) (Additional prohibition on persons informed by BIS). As specified under paragraph (c) to newly added § 744.23, no license exceptions are available to overcome the license requirements in § 744.23. Paragraph (d) (License Review Standards) specifies that there is a presumption of denial for applications to export, reexport, or transfer (incountry) items subject to the license requirements of § 744.23, which will also apply for the ‘‘development’’ or ‘‘production,’’ of integrated circuits at a semiconductor fabrication ‘‘facility’’ located in the PRC that fabricates certain integrated circuits and the ‘‘development’’ or ‘‘production’’ in the PRC of any ‘‘parts,’’ ‘‘components’’ or ‘‘equipment’’ specified under certain ECCNs. This license review standard applies even though the items subject to this end-use control may require licenses to the PRC or other destinations for multiple reasons, including for reasons that have a more favorable licensing policy. BIS estimates new license requirements under § 744.23(a)(1)(iii) through (v) and (a)(2)(iii) through (vi) will result in an additional twenty-five (25) license applications being submitted to BIS annually. Provisions of this paragraph regarding ‘‘supercomputers’’ are described above in Section III.F of this preamble. C. Providing Public Notice That ‘‘U.S. Person’’ ‘Support’ for ‘‘Development’’ or ‘‘Production,’’ of Integrated Circuits That Meet Certain Specified Criteria Implicates the General Prohibitions in § 744.6(b) of the EAR In part 744, this rule revises § 744.6 (Restrictions on specific activities of ‘‘U.S. persons’’) to inform ‘‘U.S. persons’’ that ‘support’ for the ‘‘development’’ or ‘‘production,’’ of integrated circuits that meet certain specified criteria in the PRC implicates the general prohibitions set forth in § 744.6(b) of the EAR and is therefore subject to a BIS license requirement. As authorized in ECRA (50 U.S.C. 4812(a)(2)), § 744.6 specifies that no ‘‘U.S. person’’ may without a license from BIS ‘support’ the WMD- and military-intelligence-related end uses and end users set forth in paragraphs (b)(1) through (5). ‘Support’ is defined in paragraph (b)(6) to encompass a number of activities, including, but not PO 00000 Frm 00009 Fmt 4701 Sfmt 4700 62193 limited to, shipping, transmitting, or transferring (in-country) items not subject to the EAR; facilitating such shipment, transmission, or transfer (incountry); or servicing items not subject to the EAR. As described above, semiconductor manufacturing items enable the ‘‘development’’ or ‘‘production’’ of advanced ICs that may contribute to the WMD-related end uses set forth in § 744.6(b). Section 744.6(c) of the EAR provides that BIS may inform ‘‘U.S. persons’’ through amendment to the EAR published in the Federal Register that a license is required because an activity could involve the type of ‘support’ defined in paragraph (b)(6) to the end uses and end users set forth in paragraphs (b)(1) through (5). Accordingly, BIS is amending the EAR in this rule to set forth the current text of § 744.6(c) in new § 744.6(c)(1) and to add a new § 744.6(c)(2) to inform ‘‘U.S. persons’’ of activities related to the ‘‘development’’ or ‘‘production’’ of ICs that could involve ‘support’ to WMD and missile end uses set forth in paragraph (b) and are therefore subject to a BIS license requirement. Specifically, new paragraph (c)(2) informs ‘‘U.S. persons’’ that the shipment, transmission, or transfer (incountry) to or within the PRC of any item not subject to the EAR; facilitation of such shipment, transmission, or transfer (in-country); or servicing of any item not subject to the EAR to or within the PRC when such activity would assist the ‘‘development’’ or ‘‘production’’ of ICs meeting certain parameters is subject to a license requirement. Likewise, BIS is informing ‘‘U.S. persons’’ that the shipment, transmission, or transfer (in-country) of certain items not subject to the EAR that meet specific technical parameters set forth on the CCL; facilitation of such shipment, transmission, or transfer (incountry); or servicing of such items to or within the PRC when such activity would assist the ‘‘development’’ or ‘‘production’’ of ICs, but you cannot determine the technical parameters of those ICs requires a license. A license is also required for ‘‘U.S. persons’’ activities involving shipping, transmitting, or transferring (in-country) or facilitating the shipment, transmission, or transfer (in-country) to or within the PRC any item not subject to the EAR and meeting the parameters of ECCN 3B090, 3D001 (for 3B090), or 3E001 (for 3B090) regardless of end use or end user; or servicing any item not subject to the EAR located in the PRC and meeting the parameters of ECCN 3B090, 3D001 (for 3B090), or 3E001 (for E:\FR\FM\13OCR2.SGM 13OCR2 62194 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations 3B090), regardless of end use or end user. This is consistent with the scope of the end-use restriction for items subject to the EAR in new § 744.23(a)(2)(iii). As specified under paragraph (d)(1) (Exceptions), no license exceptions are available to overcome the license requirements in § 744.6(b)(1) through (4) or (c)(2). Under paragraph (e)(3) (License Review Standards), there is a presumption of denial for applications to export, reexport, or transfer (incountry) items subject to the license requirements of § 744.6(c)(2) except for license applications for end users in China headquartered in the United States or in a country in Country Group A:5 or A:6, which will be considered on a case-by-case basis taking into account factors including technology level, customers and compliance plans. BIS estimates new license requirements under § 744.6(c)(2)(i) will result in an additional five (5) license applications being submitted to BIS annually. khammond on DSKJM1Z7X2PROD with RULES2 V. Measures To Minimize Short Term Impacts on Supply Chains BIS is imposing the controls described in this rule to protect critical U.S. national security and foreign policy interests. BIS is aware that the new controls being imposed in this rule may result in the disruption of certain companies’ activities involving China, in particular in relation to their supply chains. In order to give companies time to become familiar with the new controls being implemented, this rule implements two changes to minimize the short term impact on supply chains in transactions that do not appear to implicate national security or foreign policy concerns. A. Certification of Compliance With New FDP Rule In § 734.9(h), this rule adds a new paragraph (h)(3) (Certification) to assist exporters, reexporters, and transferors in determining whether the items being exported, reexported, or transferred (incountry) are subject to the EAR based on the advanced computing FDP rule under § 734.9(h). The model certificate provided by BIS in new supplement no. 3 to part 734, is not required under the EAR, but is provided to assist exporters, reexporters, and transferors with the process of resolving potential red flags regarding whether an item is subject to the EAR based on § 734.9(h). The model certificate contemplates inclusion of information described in paragraph (b) of supplement no. 1 to part 734 and the signature by an official or designated VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 employee of the certifying company. If a person in the supply chain is unable to obtain the certification due diligence is suggested and a BIS authorization may be required for the next set of recipients in the supply chain. While BIS expects that this certificate will be useful in facilitating understanding the application of the EAR to an item, BIS does not view use of this certificate alone to be a comprehensive due diligence process. BIS has determined that use of the certificate will protect U.S. national security and foreign policy interests. BIS expects it will also limit the burden on entities participating in supply chains by allowing them to proceed with transactions within their supply chains. In § 762.2 this rule revises paragraph (b) to add a reference to the FDP supply chain certification that this rule added under new § 734.9(h). This interim final rule makes this change by redesignating paragraphs (b)(3) through (31) as paragraphs (b)(4) through (32) and adding new paragraph (b)(3). In § 740.10 (Servicing and replacement of parts and equipment (RPL)), this interim final rule makes a conforming change to paragraph (c)(2) in § 762.2 to remove the references to § 762.2(b)(4), (47), and (48) and instead include a reference to § 762.2(b). B. Temporary General License—Supply Chain This rule establishes a temporary general license (TGL) in new paragraph (d) of supplement no. 1 to part 736 that allows, from October 21, 2022, through April 7, 2023, exports, reexports, incountry transfers, and exports from abroad destined to or within China by companies not headquartered in Country Groups D:1 or D:5 or E to continue or to engage in integration, assembly (mounting), inspection, testing, quality assurance, and distribution of items covered by ECCN 3A090, 4A090, and associated software and technology in ECCN 3D001, 3E001, 4D090, or 4E001; or any item that is a computer, integrated circuit, ‘‘electronic assembly’’ or ‘‘component’’ and associated software and technology, specified elsewhere on Commerce Control List (supplement no. 1 to part 774), which meets or exceeds the performance parameters of ECCN 3A090 or 4A090. The purpose of this TGL is to avoid disruption of supply chains for items covered by ECCNs that are ultimately destined to customers outside of China. This TGL does not authorize the export, reexport, incountry transfer, or export from abroad to ‘‘end-users’’ or ‘‘ultimate consignees’’ in China. This TGL is only for PO 00000 Frm 00010 Fmt 4701 Sfmt 4700 companies that engage in the specific activities authorized under this TGL. The TGL does not overcome any license requirements set forth in the EAR involving an entity on the Entity List or other prohibited end use and end user restrictions (e.g., those applicable to military end uses and end users). Prior to any export, reexport, or transfer (incountry) to China pursuant to this TGL, the exporter, reexporter, or transferor, must retain the name of the entity receiving the item and the complete physical address of where the item is destined in China and the location of that company’s headquarters. In response to this interim final rule, BIS welcomes comments on the temporary general license, including comments on how important the temporary general license is for supply chains to continue functioning, comments on dependency of certain aspects of the supply chain on companies in China, overview of steps taken by companies to reduce dependency on China for those aspects of their supply chains, and if a request to extend the temporary license is made to provide a rationale for why an extension may be warranted. BIS, in consultation with the other agencies, will solely determine whether any extension or modification of the TGL is warranted, but comments from the public are welcome and may help inform any subsequent decisions on the TGL. Upon expiration of the TGL, exporters will need to apply for an individually-validated export license to export such advanced computing chips, assemblies containing them, and related software and technology to the PRC for supply chain-related activities, such as assembly, inspection, quality assurance, and distribution. Such license applications will be reviewed consistent with the licensing policy set forth in new § 742.6(b)(10), as described above in Section III.B. Savings Clause Shipments of items removed from license exception eligibility or eligibility for export, reexport or transfer (incountry) without a license as a result of this regulatory action that were on dock for loading, on lighter, laden aboard an exporting carrier, or en route aboard a carrier to a port of export, on October 7, 2022, may continue to the destination under the previous license exception eligibility or without a license so long as they have been exported, reexported or transferred (in-country) before November 7, 2022. Any such items not actually exported, reexported or transferred (in-country) before midnight, on November 7, 2022, require a license E:\FR\FM\13OCR2.SGM 13OCR2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations in accordance with this interim final rule. Deemed exports and reexports of technology and software related to ECCNs 3A991.p and 4A994.l that previously did not require a license, but now require a license because of the controls implemented by this rule, will only require licenses if the technology or software release exceeds the scope of the technology or software that the foreign national already had access to prior to the implementation of controls in this rule. khammond on DSKJM1Z7X2PROD with RULES2 Export Control Reform Act of 2018 On August 13, 2018, the President signed into law the John S. McCain National Defense Authorization Act for Fiscal Year 2019, which included the Export Control Reform Act of 2018 (ECRA) (codified, as amended, at 50 U.S.C. Sections 4801–4852). ECRA provides the legal basis for BIS’s principal authorities and serves as the authority under which BIS issues this rule. To the extent it applies to certain activities that are the subject of this rule, the Trade Sanctions Reform and Export Enhancement Act of 2000 (TSRA) (codified, as amended, at 22 U.S.C. Sections 7201–7211) also serves as authority for this rule. Rulemaking Requirements 1. This interim final rule is not a ‘‘significant regulatory action’’ because it ‘‘pertain[s]’’ to a ‘‘military or foreign affairs function of the United States’’ under sec. 3(d)(2) of Executive Order 12866. 2. Notwithstanding any other provision of law, no person is required to respond to, nor shall any person be subject to a penalty for failure to comply with, a collection of information subject to the requirements of the Paperwork Reduction Act of 1995 (44 U.S.C. 3501 et seq.) (PRA), unless that collection of information displays a currently valid Office of Management and Budget (OMB) Control Number. This rule involves the following OMB-approved collections of information subject to the PRA: • 0694–0088, ‘‘Multi-Purpose Application,’’ which carries a burden hour estimate of 29.4 minutes for a manual or electronic submission; • 0694–0096 ‘‘Five Year Records Retention Period,’’ which carries a burden hour estimate of less than 1 minute; and • 0607–0152 ‘‘Automated Export System (AES) Program,’’ which carries a burden hour estimate of 3 minutes per electronic submission. BIS estimates that these new controls under the EAR imposed by this rule will VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 result in an increase of 1,700 license applications submitted annually to BIS. However, the additional burden falls within the existing estimates currently associated with these control numbers. Additional information regarding these collections of information—including all background materials—can be found at https://www.reginfo.gov/public/do/ PRAMain by using the search function to enter either the title of the collection or the OMB Control Number. 3. This rule does not contain policies with federalism implications as that term is defined in Executive Order 13132. 4. Pursuant to section 1762 of the Export Control Reform Act of 2018 (50 U.S.C. 4821) (ECRA), this action is exempt from the Administrative Procedure Act (APA) (5 U.S.C. 553) requirements for notice of proposed rulemaking, opportunity for public participation, and delay in effective date. While section 1762 of ECRA provides sufficient authority for such an exemption, this action is also independently exempt from these APA requirements because it involves a military or foreign affairs function of the United States (5 U.S.C. 553(a)(1)). 5. Because a notice of proposed rulemaking and an opportunity for public comment are not required to be given for this rule by 5 U.S.C. 553, or by any other law, the analytical requirements of the Regulatory Flexibility Act, 5 U.S.C. 601, et seq., are not applicable. Accordingly, no regulatory flexibility analysis is required, and none has been prepared. List of Subjects 15 CFR Part 734 Administrative practice and procedure, Exports, Inventions and patents, Research, Science and technology. 15 CFR Parts 736 and 772 Exports. 15 CFR Part 740 Administrative practice and procedure, Exports, Reporting and recordkeeping requirements. 15 CFR Part 742 Exports, Terrorism. 15 CFR Part 744 Exports, Reporting and recordkeeping requirements, Terrorism. 15 CFR Part 762 Administrative practice and procedure, Business and industry, Confidential business information, PO 00000 Frm 00011 Fmt 4701 Sfmt 4700 62195 Exports, Reporting and recordkeeping requirements. 15 CFR Part 774 Exports, Reporting and recordkeeping requirements. For the reasons stated in the preamble, parts 734, 736, 740, 742, 744, 762, 772, and 774 of the Export Administration Regulations (15 CFR parts 730 through 774) are amended as follows: PART 734—SCOPE OF THE EXPORT ADMINISTRATION REGULATIONS 1. The authority citation for part 734 continues to read as follows: ■ Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.; 50 U.S.C. 1701 et seq.; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13020, 61 FR 54079, 3 CFR, 1996 Comp., p. 219; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; E.O. 13637, 78 FR 16129, 3 CFR, 2014 Comp., p. 223; Notice of November 10, 2021, 86 FR 62891 (November 12, 2021). 2. Effective on October 21, 2022, § 734.9 is amended by revising paragraph (e) and adding paragraphs (h) and (i) to read as follows: ■ § 734.9 Rules. Foreign-Direct Product (FDP) * * * * * (e) Entity List FDP rule. A foreignproduced item is subject to the EAR if it meets the product scope and end-user scope in either Entity List FDP rule footnote 1 provision in paragraph (e)(1) of this section or the Entity List FDP rule Footnote 4 provision in paragraph (e)(2) of this section. (1) Entity List FDP rule: Footnote 1. A foreign-produced item is subject to the EAR if it meets both the product scope in paragraph (e)(1)(i) of this section and the end-user scope in paragraph (e)(1)(ii) of this section. See § 744.11(a)(2)(i) of the EAR for license requirements, license review policy, and license exceptions applicable to foreignproduced items that are subject to the EAR pursuant to this paragraph (e)(1). (i) Product Scope Entity List FDP rule: Footnote 1. The product scope applies if a foreign-produced item meets the conditions of either paragraph (e)(1)(i)(A) or (B) of this section. (A) ‘‘Direct product’’ of ‘‘technology’’ or ‘‘software.’’ A foreign-produced item meets the product scope of this paragraph (e)(1)(i)(A) if the foreignproduced item is a ‘‘direct product’’ of ‘‘technology’’ or ‘‘software’’ subject to the EAR and specified in ECCN 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D993, 4D994, 4E001, 4E992, E:\FR\FM\13OCR2.SGM 13OCR2 62196 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations 4E993, 5D001, 5D991, 5E001, or 5E991 of the Commerce Control List (CCL) in supplement no. 1 to part 774 of the EAR; or (B) Product of a complete plant or ‘major component’ of a plant that is a ‘‘direct product.’’ A foreign-produced item meets the product scope of this paragraph (e)(1)(i)(B) if the foreignproduced item is produced by any plant or ‘major component’ of a plant that is located outside the United States, when the plant or ‘major component’ of a plant, whether made in the U.S. or a foreign country, itself is a ‘‘direct product’’ of U.S.-origin ‘‘technology’’ or ‘‘software’’ that is specified in ECCN 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D991, 5E001, or 5E991 of the CCL. khammond on DSKJM1Z7X2PROD with RULES2 Note 2 to paragraph (e)(1)(i): A foreignproduced item includes any foreignproduced wafer whether finished or unfinished. (ii) End-user scope of the Entity List FDP rule: Footnote 1. A foreignproduced item meets the end-user scope of this paragraph (e)(1)(ii) if there is ‘‘knowledge’’ that: (A) Activities involving Footnote 1 designated entities. The foreignproduced item will be incorporated into, or will be used in the ‘‘production’’ or ‘‘development’’ of any ‘‘part,’’ ‘‘component,’’ or ‘‘equipment’’ produced, purchased, or ordered by any entity with a footnote 1 designation in the license requirement column of the Entity List in supplement no. 4 to part 744 of the EAR; or (B) Footnote 1 designated entities as transaction parties. Any entity with a footnote 1 designation in the license requirement column of the Entity List in supplement no. 4 to part 744 of the EAR is a party to any transaction involving the foreign-produced item, e.g., as a ‘‘purchaser,’’ ‘‘intermediate consignee,’’ ‘‘ultimate consignee,’’ or ‘‘end-user.’’ (2) Entity List FDP rule: Footnote 4. A foreign-produced item is subject to the EAR if it meets both the product scope in paragraph (e)(2)(i) of this section and the end-user scope in paragraph (e)(2)(ii) of this section. See § 744.11(a)(2)(ii) of the EAR for license requirements, license review policy, and license exceptions applicable to foreignproduced items that are subject to the EAR pursuant to this paragraph (e)(2). (i) Product Scope Entity List FDP rule: Footnote 4. The product scope applies if a foreign-produced item meets the conditions of either paragraph (e)(2)(i)(A) or (B) of this section. (A) ‘‘Direct product’’ of ‘‘technology’’ or ‘‘software.’’ The foreign-produced VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 item is a ‘‘direct product’’ of ‘‘technology’’ or ‘‘software’’ subject to the EAR and specified in ECCN 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D002, 5D991, 5E001, 5E002, or 5E991 of the CCL; or (B) Product of plant or ‘major component’ that is a ‘‘direct product.’’ The foreign-produced item is produced by any plant or ‘major component’ of a plant when the plant or ‘major component’ of a plant, whether made in the U.S. or a foreign country, itself is a ‘‘direct product’’ of U.S.-origin ‘‘technology’’ or ‘‘software’’ that is specified in ECCN 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D991, 5E001, 5E991, 5D002, or 5E002 of the CCL. (ii) End user scope of the Entity List FDP rule: Footnote 4. A foreignproduced item meets the end-user scope of this paragraph (e)(2)(ii) if there is ‘‘knowledge’’ that: (A) Activities involving Footnote 4 designated entities. The foreignproduced item will be incorporated into, or will be used in the ‘‘production’’ or ‘‘development’’ of any ‘‘part,’’ ‘‘component,’’ or ‘‘equipment’’ produced, purchased, or ordered by any entity with a footnote 4 designation in the license requirement column of the Entity List in supplement no. 4 to part 744 of the EAR; or (B) Footnote 4 designated entities as transaction parties. Any entity with a footnote 4 designation in the license requirement column of the Entity List in supplement no. 4 to part 744 of the EAR is a party to any transaction involving the foreign-produced item, e.g., as a ‘‘purchaser,’’ ‘‘intermediate consignee,’’ ‘‘ultimate consignee,’’ or ‘‘end-user.’’ * * * * * (h) Advanced computing FDP rule. A foreign-produced item is subject to the EAR if it meets both the product scope in paragraph (h)(1) of this section and the destination scope in paragraph (h)(2) of this section. See § 742.6(a)(6) of the EAR for license requirements and license exceptions and § 742.6(b)(10) for license review policy applicable to foreign-produced items that are subject to the EAR under this paragraph (h). (1) Product scope of advanced computing FDP rule. The product scope applies if a foreign-produced item meets the conditions of either paragraph (h)(1)(i) or (ii) of this section. (i) ‘‘Direct product’’ of ‘‘technology’’ or ‘‘software.’’ A foreign-produced item meets the product scope of this paragraph (h) if it meets both the following conditions: PO 00000 Frm 00012 Fmt 4701 Sfmt 4700 (A) The foreign-produced item is the ‘‘direct product’’ of ‘‘technology’’ or ‘‘software’’ subject to the EAR and specified in 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D090, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D002, 5D991, 5E001, 5E991, or 5E002 of the CCL; and (B) The foreign-produced item is: (1) Specified in ECCN 3A090, 3E001 (for 3A090), 4A090, or 4E001 (for 4A090) of the CCL; or (2) An integrated circuit, computer, ‘‘electronic assembly,’’ or ‘‘component’’ specified elsewhere on the CCL and meets the performance parameters of ECCN 3A090 or 4A090. (ii) Product of a complete plant or ‘major component’ of a plant that is a ‘‘direct product.’’ A foreign-produced item meets the product scope of this paragraph (h) if it meets both of the following conditions: (A) The foreign-produced item is produced by any complete plant or ‘major component’ of a plant that is located outside the United States, when the plant or ‘major component’ of a plant, whether made in the United States or a foreign country, itself is a ‘‘direct product’’ of U.S.-origin ‘‘technology’’ or ‘‘software’’ that is specified in ECCN 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D090, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D991, 5E001, 5E991, 5D002, or 5E002 of the CCL; and (B) The foreign-produced item is: (1) Specified in ECCN 3A090, 3E001 (for 3A090), 4A090, or 4E001 (for 4A090) of the CCL; or (2) An integrated circuit, computer, ‘‘electronic assembly,’’ or ‘‘component’’ specified elsewhere on the CCL and meets the performance parameters of ECCN 3A090 or 4A090. (2) Destination or end use scope of the advanced computing FDP rule. A foreign-produced item meets the destination scope of this paragraph (h)(2) if there is ‘‘knowledge’’ that the foreign-produced item is: (i) Destined to the PRC or will be incorporated into any ‘‘part,’’ ‘‘component,’’ ‘‘computer,’’ or ‘‘equipment’’ not designated EAR99 that is destined to the PRC; or (ii) Technology developed by an entity headquartered in the PRC for the ‘‘production’’ of a mask or an integrated circuit wafer or die. (3) Certification. Exporters, reexporters, and transferors may obtain a written certification from a supplier that asserts an item being provided would be subject to the EAR if future transaction meet the destination scope in paragraph (h)(2)(i) or (ii) of this section. The model certificate provided E:\FR\FM\13OCR2.SGM 13OCR2 khammond on DSKJM1Z7X2PROD with RULES2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations by BIS in supplement no. 1 to this part is not required under the EAR, but through its provision, the certificate may assist exporters, reexporters, and transferors with the process of resolving potential red flags regarding whether an item is subject to the EAR based on this paragraph (h). The model certificate provided by BIS contemplates signature by an official or designated employee of the certifying company and inclusion of all the information described in paragraph (b) of supplement no. 1 to this part. If the exporter, reexporter, or transferors has not obtained such a certification, due diligence needs to be conducted to determine if the items meets the scope in this paragraph (h). While this certificate is expected to be useful for a company to understand the application of the EAR to an item, BIS does not view this as the only step to be completed during a company’s due diligence process. See supplement no. 1 to this part and supplement no. 3 to part 732 of the EAR. (i) ‘‘Supercomputer’’ FDP rule. A foreign-produced item is subject to the EAR if it meets both the product scope in paragraph (i)(1) of this section and the country and end-use scope in paragraph (i)(2) of this section. See § 744.23 of the EAR for license requirement, license review policy, and license exceptions applicable to foreignproduced items that are subject to the EAR pursuant to this paragraph (i). (1) Product scope. The product scope applies if a foreign-produced item meets the conditions of either paragraph (i)(1)(i) or (ii) of this section. (i) ‘‘Direct product’’ of ‘‘technology’’ or ‘‘software.’’ The foreign-produced item meets the product scope of this paragraph (i)(1)(i) if the foreignproduced item is a ‘‘direct product’’ of ‘‘technology’’ or ‘‘software’’ subject to the EAR and specified in ECCN 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D991, 5E001, 5E991, 5D002, or 5E002 of the CCL; or (ii) Product of a complete plant or ‘major component’ of a plant that is a ‘‘direct product.’’ A foreign-produced item meets the product scope of this paragraph (i)(1)(ii) if the foreignproduced item is produced by any plant or ‘major component’ of a plant that is located outside the United States, when the plant or ‘major component’ of a plant, whether made in the United States or a foreign country, itself is a ‘‘direct product’’ of U.S.-origin ‘‘technology’’ or ‘‘software’’ that is specified in ECCN 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D994, 4E001, 4E992, 4E993, 5D001, VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 5D991, 5E001, 5E991, 5D002, or 5E002 of the CCL. (2) Country and end-use scope. A foreign-produced item meets the country and end-use scope of this paragraph (i)(2) if there is ‘‘knowledge’’ that the foreign produced item will be: (i) Used in the design, ‘‘development,’’ ‘‘production,’’ operation, installation (including on-site installation), maintenance (checking), repair, overhaul, or refurbishing of, a ‘‘supercomputer’’ located in or destined to the PRC; or (ii) Incorporated into, or used in the ‘‘development,’’ or ‘‘production,’’ of any ‘‘part,’’ ‘‘component,’’ or ‘‘equipment’’ that will be used in a ‘‘supercomputer’’ located in or destined to the PRC. ■ 3. Effective on October 21, 2022, add supplement no. 1 to part 734 to read as follows: Supplement No. 1 to Part 734—Model Certification for Purposes of Advanced Computing FDP Rule (a) General. This supplement is included in the EAR to assist exporters, reexporters, and transferors in determining whether the items being exported, reexported, or transferred (incountry) are subject to the EAR based on the advanced computing FDP rule under § 734.9(h). The model certificate provided by BIS in this supplement is not required under the EAR, but through its provision, the certificate may assist exporters, reexporters, and transferors with the process of resolving potential red flags regarding whether an item is subject to the EAR based on § 734.9(h). The model certificate provided in this supplement by BIS contemplates signature by an official or designated employee of the certifying company and inclusion of all the information described in paragraph (b) of this supplement. Any certification relied on for this part must be retained pursuant to part 762 of the EAR. Obtaining the certification set forth in this supplement does not relieve exporters, reexporters, and transferors of their obligation to exercise due diligence in determining whether items are subject to the EAR, including by following the ‘‘Know Your Customer’’ guidance in supplement no. 3 to part 732 of the EAR. (b) Model Criteria. A certification meets the criteria described in this supplement if it contains at least the following information: (1) The certification must be signed by an organization official specifically authorized to certify the document as being accurate and complete. The undersigned certifies that the information herein supplied in response PO 00000 Frm 00013 Fmt 4701 Sfmt 4700 62197 to this paragraph is complete and correct to the best of his/her knowledge. By signing the certification below, I attest that: (2) My organization is aware that the items, [INSERT A DESCRIPTION OF THE ITEMS], provided to this exporter, reexporter, or transferor, [INSERT NAME OF EXPORTER, REEXPORTER, OR TRANSFEROR], could be subject to the U.S. Export Administration Regulations (EAR) (15 CFR 730–774) if future transactions are within the destination scope of § 734.9(h)(2)(i) or (ii) and exported or reexported to or transferred within the People’s Republic of China (China); (3) My organization has reviewed the criteria for the advanced computing Foreign Direct Product (FDP) rule under § 734.9(h) and attests that from my organization’s ‘‘knowledge’’ of the item, it would be subject to the EAR if the destination criteria are met in § 734.9(h)(2)(i) or (ii); and (4) My organization affirms its commitment to apply with all applicable requirements under the EAR. [INSERT NAME(S) OF CONSIGNEE(S)] [INSERT DATE(S) SIGNED] Note 1 to paragraph (b): When multiple consignees who form a network engaged in a production process (or other type of collaborative activity, such as joint development) will be receiving items under the EAR, a single model certification statement for multiple consignees may be used for any export, reexport, or transfer (incountry) under the EAR. (c) Additional Information. Because this is only a model certification, exporters, reexporters, or transferors may add additional elements to the certification and/or use it for multiple purposes as part of their compliance program. For example, if a company has ten affiliated companies in a multi-step supply chain, instead of obtaining a model certification for each export, reexport, or transfer (in-country), the initial exporter, reexporter, or transferor may get all ten parties to sign the certification, which may further reduce the burden on parties participating in the supply chain. PART 736—GENERAL PROHIBITIONS 4. The authority citation for part 736 continues to read as follows: ■ Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.; 50 U.S.C. 1701 et seq.; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13020, 61 FR 54079, 3 CFR, 1996 Comp., p. 219; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; E.O. 13338, 69 FR 26751, 3 CFR, 2004 Comp., p. 168; Notice of November 10, 2021, 86 FR E:\FR\FM\13OCR2.SGM 13OCR2 62198 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations 62891 (November 12, 2021); Notice of May 9, 2022, 87 FR 28749 (May 10, 2022). 5. Effective on October 21, 2022, supplement no. 1 to part 736 is amended by adding paragraph (d) to read as follows: ■ khammond on DSKJM1Z7X2PROD with RULES2 * * * * (d) General Order No. 4: The purpose of this General Order is to avoid disruption of supply chains for items specified in paragraph (d)(1) of this supplement that are ultimately destined to customers outside of People’s Republic of China (China). (1) Temporary General License (TGL). BIS authorizes, from October 21, 2022, through April 7, 2023, exports, reexports, in-country transfers, and exports from abroad destined to or within China by companies not headquartered in Country Groups D:1 or D:5 or E (see supplement no. 1 to part 740 of the EAR) to continue or engage in integration, assembly (mounting), inspection, testing, quality assurance, and distribution of items covered by ECCN 3A090, 4A090, and associated software and technology in ECCN 3D001, 3E001, 4D090, or 4E001; or any item that is a computer, integrated circuit, ‘‘electronic assembly’’ or ‘‘component’’ and associated software and technology, specified elsewhere on Commerce Control List (supplement no. 1 to part 774 of the EAR), which meets or exceeds the performance parameters of ECCN 3A090 or 4A090. This does not authorize the export, reexport, incountry transfer, or export from abroad to ‘‘end-users’’ or ‘‘ultimate consignees’’ in China. This TGL does not overcome the license requirements of § 744.11 or § 744.21 of the EAR when an entity listed in supplements no. 4 or 7 to part 744 is a party to the transaction as described in § 748.5(c) through (f) of the EAR, or when there is knowledge of any other prohibited end use or end user. This TGL is only for companies that engage in the specific activities authorized under this TGL. (2) Recordkeeping requirement. Prior to any export, reexport, or transfer (incountry) to China pursuant to this TGL, the exporter, reexporter, or transferor, must retain the name of the entity receiving the item and the complete physical address of where the item is destined in China and the location of that company’s headquarters. * * * * * PART 740—LICENSE EXCEPTIONS 6. The authority citation for part 740 continues to read as follows: ■ VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 7. Effective on October 7, 2022, § 740.2 is amended by adding paragraph (a)(9) to read as follows: ■ Supplement No. 1 to Part 736—General Orders * Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.; 50 U.S.C. 1701 et seq.; 22 U.S.C. 7201 et seq.; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783. § 740.2 Restrictions on all License Exceptions. * * * * * (a) * * * (9) The item is identified in paragraph (a)(9)(i) of this section, being exported, reexported, or transferred (in-country) to or within the People’s Republic of China (PRC), and the license exception is other than: RPL (excluding 3B090, 3D001 (for 3B090), and 3E001 (for 3B090)), under the provisions of § 740.10, including § 740.10(a)(3)(v), which prohibits exports and reexports of replacement parts to countries in Country Group E:1 (see supplement no. 1 to this part)); GOV, restricted to eligibility under the provisions of § 740.11(b)(2)(ii); or TSU (excluding 3B090, 3D001 (for 3B090), and 3E001 (for 3B090)), under the provisions of § 740.13(a) and (c). Items restricted to eligibility only for the foregoing license exceptions are: (i) Controlled under ECCNs 3B090, or associated software and technology in 3D001, or 3E001; or (ii) [Reserved] * * * * * ■ 8. Effective on October 21, 2022, § 740.2 is further amended by revising paragraph (a)(9) to read as follows: § 740.2 Restrictions on all License Exceptions. * * * * * (a) * * * (9) The item is identified in paragraphs (a)(9)(i) and (ii) of this section, being exported, reexported, or transferred (in-country) to or within the People’s Republic of China (PRC), and the license exception is other than: RPL (excluding 3B090, 3D001 (for 3B090), and 3E001 (for 3B090)), under the provisions of § 740.10, including § 740.10(a)(3)(v), which prohibits exports and reexports of replacement parts to countries in Country Group E:1 (see supplement no. 1 to this part)); GOV, restricted to eligibility under the provisions of § 740.11(b)(2)(ii); or TSU (excluding 3B090, 3D001 (for 3B090), and 3E001 (for 3B090)), under the provisions of § 740.13(a) and (c). Items restricted to eligibility only for the foregoing license exceptions are: (i) Controlled under ECCNs 3A090, 3B090, 4A090, or associated software and technology in 3D001, 3E001, 4D090, and 4E001; or PO 00000 Frm 00014 Fmt 4701 Sfmt 4700 (ii) A computer, integrated circuit, ‘‘electronic assembly’’ or ‘‘component’’ specified elsewhere on the CCL which meets or exceeds the performance parameters of ECCN 3A090 or 4A090. * * * * * ■ 9. Effective on October 7, 2022, § 740.10 is amended by revising paragraph (c)(2) to read as follows: § 740.10 License Exception Servicing and replacement of parts and equipment (RPL). * * * * * (c) * * * (2) Records maintained pursuant to this section may be requested at any time by an appropriate BIS official as set forth in § 762.7 of the EAR. Records that must be included in the annual or semiannual reports of exports and reexports of ‘‘600 Series’’ items under the authority of License Exception RPL are described in §§ 743.4 and 762.2(b) of the EAR. PART 742—CONTROL POLICY—CCL BASED CONTROLS 10. The authority citation for part 742 continues to read as follows: ■ Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.; 50 U.S.C. 1701 et seq.; 22 U.S.C. 3201 et seq.; 42 U.S.C. 2139a; 22 U.S.C. 7201 et seq.; 22 U.S.C. 7210; Sec. 1503, Pub. L. 108–11, 117 Stat. 559; E.O. 12058, 43 FR 20947, 3 CFR, 1978 Comp., p. 179; E.O. 12851, 58 FR 33181, 3 CFR, 1993 Comp., p. 608; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; Presidential Determination 2003–23, 68 FR 26459, 3 CFR, 2004 Comp., p. 320; Notice of November 10, 2021, 86 FR 62891 (November 12, 2021). 11. Effective on October 7, 2022, § 742.6 is amended by adding paragraphs (a)(6) and (b)(10) to read as follows: ■ § 742.6 Regional stability. (a) * * * (6) RS requirement that applies to the People’s Republic of China (China) for semiconductor manufacturing items—(i) Exports, reexports, transfers (incountry). A license is required for items specified in ECCN 3B090 and associated software and technology in 3D001 (for 3B090), 3E001 (for 3B090)) being exported, reexported, or transferred (incountry) to or within the China. (ii) Deemed exports. The license requirements in this paragraph (a)(6) do not apply to deemed exports or deemed reexports. * * * * * (b) * * * (10) Semiconductor manufacturing items when destined to China. There is E:\FR\FM\13OCR2.SGM 13OCR2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations a presumption of denial for applications for items specified in paragraph (a)(6) of this section being exported, reexported, or transferred (in-country) to or within the China. See § 744.11(a)(2)(ii) of the EAR for license requirements, license review policy, and license exceptions applicable to specific entities. License applications for semiconductor manufacturing items, such as semiconductor equipment, destined to end users in China that are headquartered in the United States or in a country in Country Group A:5 or A:6 will be considered on a case-by-case basis, taking into account factors including technology level, customers and compliance plans. * * * * * ■ 12. Effective on October 21, 2022, § 742.6 is further amended by revising paragraphs (a)(6) and (b)(10) to read as follows: khammond on DSKJM1Z7X2PROD with RULES2 § 742.6 Regional stability. (a) * * * (6) RS requirement that applies to the People’s Republic of China (China) for advanced computing and semiconductor manufacturing items—(i) Exports, reexports, transfers (incountry). A license is required for items specified in ECCNs 3A090, 3B090, 4A090, 5A992 (that meet or exceed the performance parameters of ECCNs 3A090 or 4A090) and associated software and technology in 3D001 (for 3A090 or 3B090), 3E001 (for 3A090 or 3B090), 3B090, or 3D001 (for 3A090 or 3B090), 4D090, 4E001 (for 4A090 and 4D090), and 5D992 (that meet or exceed the performance parameters of ECCNs 3A090 or 4A090) being exported, reexported, or transferred (in-country) to or within the China. A license is also required for the export from the China to any destination worldwide of 3E001 (for 3A090) technology developed by an entity headquartered in the China that is the direct product of software subject to the EAR and is for the ‘‘production’’ of commodities identified in ECCNs 3A090, 4A090, or identified elsewhere on the CCL that meet or exceed the performance parameters of ECCNs 3A090 or 4A090, consistent with § 734.9(h)(1)(i)(B)(1) and (h)(2)(ii) of the EAR. (ii) Deemed exports. The license requirements in this paragraph (a)(6) do not apply to deemed exports or deemed reexports. * * * * * (b) * * * (10) Advanced computing and semiconductor manufacturing items when destined to China. There is a presumption of denial for applications VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 for items specified in paragraph (a)(6) of this section being exported, reexported, or transferred (in-country) to or within the China. See § 744.11(a)(2)(ii) of the EAR for license requirements, license review policy, and license exceptions applicable to specific entities. License applications for semiconductor manufacturing items, such as semiconductor equipment, destined to end users in China that are headquartered in the United States or in a country in Country Group A:5 or A:6 will be considered on a case-by-case basis, taking into account factors including technology level, customers and compliance plans. * * * * * PART 744—END–USE AND END–USER CONTROLS 13. The authority citation for part 744 continues to read as follows: ■ Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.; 50 U.S.C. 1701 et seq.; 22 U.S.C. 3201 et seq.; 42 U.S.C. 2139a; 22 U.S.C. 7201 et seq.; 22 U.S.C. 7210; E.O. 12058, 43 FR 20947, 3 CFR, 1978 Comp., p. 179; E.O. 12851, 58 FR 33181, 3 CFR, 1993 Comp., p. 608; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13099, 63 FR 45167, 3 CFR, 1998 Comp., p. 208; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; E.O. 13224, 66 FR 49079, 3 CFR, 2001 Comp., p. 786; Notice of November 10, 2021, 86 FR 62891 (November 12, 2021); Notice of September 19, 2022, 87 FR 57569 (September 19, 2022). 14. Effective on October 21, 2022, § 744.1 is amended by adding a sentence at the end of paragraph (a)(1) to read as follows: ■ § 744.1 General provisions. (a)(1) * * * Section 744.23 sets forth restrictions on exports, reexports, and transfers (in-country) for certain ‘‘supercomputer’’ and semiconductor manufacturing end use. * * * * * ■ 15. Effective on October 12, 2022, § 744.6 is amended by revising paragraphs (c) and (d) and adding paragraph (e)(3) to read as follows: § 744.6 Restrictions on specific activities of ‘‘U.S. persons.’’ * * * * * (c) Additional prohibitions on ‘‘U.S. persons’’ informed by BIS. (1) BIS may inform ‘‘U.S. persons,’’ either individually by specific notice, through amendment to the EAR published in the Federal Register, or through a separate notice published in the Federal Register, that a license is required because an activity could involve the types of ‘support’ (as defined in PO 00000 Frm 00015 Fmt 4701 Sfmt 4700 62199 paragraph (b)(6) of this section) to the end uses or end users described in paragraphs (b)(1) through (5) of this section. Specific notice is to be given only by, or at the direction of, the Deputy Assistant Secretary for Export Administration. When such notice is provided orally, it will be followed by a written notice within two working days signed by the Deputy Assistant Secretary for Export Administration. However, the absence of any such notification does not excuse the ‘‘U.S. person’’ from compliance with the license requirements of paragraph (b) of this section. (2) Consistent with paragraph (c)(1) of this section, BIS is hereby informing ‘‘U.S. persons’’ that a license is required for the following activities, which could involve ‘support’ for the weapons of mass destruction-related end uses set forth in paragraph (b) of this section. (i) Shipping, transmitting, or transferring (in-country) to or within the PRC any item not subject to the EAR that you know will be used in the ‘‘development’’ or ‘‘production’’ of integrated circuits at a semiconductor fabrication ‘‘facility’’ located in the PRC that fabricates integrated circuits meeting any of the following criteria: (A) Logic integrated circuits using a non-planar architecture or with a ‘‘production’’ technology node of 16/14 nanometers or less; (B) NOT–AND (NAND) memory integrated circuits with 128 layers or more; or (C) Dynamic random-access memory (DRAM) integrated circuits using a ‘‘production’’ technology node of 18 nanometer half-pitch or less; or (ii) Facilitating the shipment, transmission, or transfer (in-country) of any item not subject to the EAR that you know will be used in the ‘‘development’’ or ‘‘production’’ of integrated circuits at a semiconductor fabrication ‘‘facility’’ located in the PRC that fabricates integrated circuits that meet any of the criteria in paragraphs (c)(2)(i)(A) through (C) of this section; (iii) Servicing any item not subject to the EAR that you know will be used in the ‘‘development’’ or ‘‘production’’ of integrated circuits at a semiconductor fabrication ‘‘facility’’ located in the PRC that fabricates integrated circuits that meet any of the criteria in paragraphs (c)(2)(i)(A) through (C) of this section; (iv) Shipping, transmitting, or transferring (in-country) to or within the PRC any item not subject to the EAR and meeting the parameters of any ECCN in Product Groups B, C, D, or E in Category 3 of the CCL that you know will be used in the ‘‘development’’ or ‘‘production’’ of integrated circuits at E:\FR\FM\13OCR2.SGM 13OCR2 khammond on DSKJM1Z7X2PROD with RULES2 62200 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations any semiconductor fabrication ‘‘facility’’ located in the PRC, but you do not know whether such semiconductor fabrication ‘‘facility’’ fabricates integrated circuits that meet any of the criteria in paragraphs (c)(2)(i)(A) through (C) of this section; (v) Facilitating the shipment, transmission, or transfer (in-country) to or within the PRC of any item not subject to the EAR and meeting the parameters of any ECCN in Product Groups B, C, D, or E in Category 3 of the CCL that you know will be used in the ‘‘development’’ or ‘‘production,’’ of integrated circuits at any semiconductor fabrication ‘‘facility’’ located in the PRC, but you do not know whether such semiconductor fabrication ‘‘facility’’ fabricates integrated circuits that meet any of the criteria in paragraphs (c)(2)(i)(A) through (C) of this section; (vi) Servicing any item not subject to the EAR and meeting the parameters of any ECCN in Product Groups B, C, D, or E in Category 3 of the CCL that you know will be used in the ‘‘development’’ or ‘‘production’’ of integrated circuits at any semiconductor fabrication ‘‘facility’’ located in the PRC, but you do not know whether such semiconductor fabrication ‘‘facility’’ fabricates integrated circuits that meet any of the criteria in paragraphs (c)(2)(i)(A) through (C) of this section; (vii) Shipping, transmitting, or transferring (in-country) to or within the PRC any item not subject to the EAR and meeting the parameters of ECCN 3B090, 3D001 (for 3B090), or 3E001 (for 3B090) regardless of end use or end user; (viii) Facilitating the shipment, transmission, or transfer (in-country) to or within the PRC of any item not subject to the EAR and meeting the parameters of ECCN 3B090, 3D001 (for 3B090), or 3E001 (for 3B090), regardless of end use or end user; or (ix) Servicing any item not subject to the EAR located in the PRC and meeting the parameters of ECCN 3B090, 3D001 (for 3B090), or 3E001 (for 3B090), regardless of end use or end user. (d) Exceptions. (1) No License Exceptions apply to the prohibitions described in paragraphs (b)(1) through (4) and (c)(2)(i) through (vi) of this section. (2) Notwithstanding the prohibitions in paragraphs (b)(5) and (c)(2)(vii) through (ix) of this section, ‘‘U.S. persons’’ who are employees of a department or agency of the U.S. Government may ‘support’ a ‘militaryintelligence end use’ or a ‘militaryintelligence end user,’ as described in paragraph (b)(5) of this section, or engage in the activities described in VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 paragraphs (c)(2)(vii) through (ix) of this section, if the ‘support’ is provided in the performance of official duties in furtherance of a U.S. Government program that is authorized by law and subject to control by the President by other means. This paragraph (d)(2) does not authorize a department or agency of the U.S. Government to provide ‘support’ that is otherwise prohibited by other administrative provisions or by statute. ‘Contractor support personnel’ of a department or agency of the U.S. Government are eligible for this authorization when in the performance of their duties pursuant to the applicable contract or other official duties. ‘Contractor support personnel’ for the purposes of this paragraph (d)(2) has the same meaning given to that term in § 740.11(b)(2)(ii) of the EAR. This authorization is not available when a department or agency of the U.S. Government acts as an agent on behalf of a non-U.S. Government person. (e) * * * (3) Applications for licenses submitted pursuant to the notice of a license requirement set forth in paragraph (c)(2) of this section will be reviewed with a presumption of denial, except for end users in the PRC headquartered in the United States or a country in Country Group A:5 or A:6, which will be considered on a case-bycase basis taking into account factors including technology level, customers, and compliance plans. ■ 16. Effective on October 21, 2022, § 744.11 is amended by revising paragraph (a)(2) to read as follows: § 744.11 License requirements that apply to entities acting or at significant risk of acting contrary to the national security or foreign policy interests of the United States. * * * * * (a) * * * (2) Entity List foreign-‘‘direct product’’ (FDP) license requirements, review policy, and license exceptions—(i) Footnote 1 entities. You may not, without a license or license exception, reexport, export from abroad, or transfer (in-country) any foreign-produced item subject to the EAR pursuant to § 734.9(e)(1)(i) of the EAR when an entity designated with footnote 1 on the Entity List in supplement. no. 4 to this part is a party to the transaction. All license exceptions described in part 740 of the EAR are available for foreignproduced items that are subject to this license requirement if all terms and conditions of the applicable license exception are met and the restrictions in § 740.2 of this EAR do not apply. The sophistication and capabilities of technology in items is a factor in license PO 00000 Frm 00016 Fmt 4701 Sfmt 4700 application review; license applications for foreign-produced items subject to a license requirement by this paragraph (a)(2) that are capable of supporting the ‘‘development’’ or ‘‘production’’ of telecom systems, equipment, and devices below the 5G level (e.g., 4G, 3G) will be reviewed on a case-by-case basis. (ii) Footnote 4 entities. You may not, without a license, reexport, export from abroad, or transfer (in-country) any foreign-produced item subject to the EAR pursuant to § 734.9(e)(2) of the EAR when an entity designated with footnote 4 on the Entity List in supp. no. 4 to this part is a party to the transaction, or that will be used in the ‘‘development’’ or ‘‘production’’ of any ‘‘part,’’ ‘‘component,’’ or ‘‘equipment’’ produced, purchased, or ordered by any such entity. See § 744.23 for additional license requirements that may apply to these entities. The license review policy for foreign-produced items subject to this license requirement is set forth in the entry in supplement no. 4 to this part for each entity with a footnote 4 designation. * * * * * ■ 17. Effective on October 7, 2022, add § 744.23 to read as follows: § 744.23 Semiconductor manufacturing end use. (a) General prohibition. In addition to the license requirements for items specified on the CCL, you may not export, reexport, or transfer (in-country) without a license any item subject to the EAR meeting the product scope in paragraph (a)(1) of this section when you have ‘‘knowledge’’ at the time of export, reexport, or transfer (in-country) that the item is destined for the end-use described in paragraph (a)(2) of this section. (1) Product scope. Any of the following items meet the product scope of the prohibition in this section: (i)–(ii) [Reserved] (iii) Any item subject to the EAR when you know the items will be used in an end use described in paragraphs (a)(2)(iii)(A) through (C) of this section; (iv) Any item subject to the EAR and classified in an ECCN in Product Groups B, C, D, or E in Category 3 of the CCL when you know the items will be used in an end use described in paragraph (a)(2)(iv) of this section; or (v) Any item subject to the EAR when you know the item will be used in an end use described in paragraph (a)(2)(v) of this section. (2) End-use scope. The following activities meet the end-use scope of the prohibition in this section: (i)–(ii) [Reserved] E:\FR\FM\13OCR2.SGM 13OCR2 khammond on DSKJM1Z7X2PROD with RULES2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations (iii) The ‘‘development’’ or ‘‘production’’ of integrated circuits at a semiconductor fabrication ‘‘facility’’ located in the PRC that fabricates integrated circuits meeting any of the following criteria: (A) Logic integrated circuits using a non-planar transistor architecture or with a ‘‘production’’ technology node of 16/14 nanometers or less; (B) NOT AND (NAND) memory integrated circuits with 128 layers or more; or (C) Dynamic random-access memory (DRAM) integrated circuits using a ‘‘production’’ technology node of 18 nanometer half-pitch or less; or (iv) The ‘‘development’’ or ‘‘production’’ of integrated circuits at any semiconductor fabrication ‘‘facility’’ located in the PRC, but you do not know whether such semiconductor fabrication ‘‘facility’’ fabricates integrated circuits that meet any of the criteria in paragraphs (a)(2)(iii)(A) through (C) of this section. (v) The ‘‘development’’ or ‘‘production’’ in the PRC of any ‘‘parts,’’ ‘‘components’’ or ‘‘equipment’’ specified under ECCN 3B001, 3B002, 3B090, 3B611, 3B991, or 3B992. (b) Additional prohibition on persons informed by BIS. BIS may inform persons, either individually by specific notice or through amendment to the EAR published in the Federal Register, that a license is required for a specific export, reexport, or transfer (in-country) of any item subject to the EAR to a certain end-user, because there is an unacceptable risk of use in, or diversion to, the activities specified in paragraph (a)(1) of this section. Specific notice is to be given only by, or at the direction of, the Deputy Assistant Secretary for Export Administration. When such notice is provided orally, it will be followed by a written notice within two working days signed by the Deputy Assistant Secretary for Export Administration or the Deputy Assistant Secretary’s designee. However, the absence of any such notification does not excuse persons from compliance with the license requirements of paragraph (a) of this section. (c) License exceptions. No license exceptions may overcome the prohibition described in paragraph (a) of this section. (d) License review standards. There is a presumption of denial for applications to export, reexport, or transfer (incountry) items described in paragraph (a)(1) of this section that are for end uses described in paragraph (a)(2) of this section, except for items controlled under paragraph (a)(2)(iii) of this section for end users in China that are VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 headquartered in the United States or in a Country Group A:5 or A:6 country, which will be considered on a case-bycase basis taking into account factors including technology level, customers, and compliance plans. ■ 18. Effective on October 21, 2022, revise § 744.23 to read as follows: § 744.23 ‘‘Supercomputer’’ and semiconductor manufacturing end use. (a) General prohibition. In addition to the license requirements for items specified on the CCL, you may not export, reexport, or transfer (in-country) without a license any item subject to the EAR meeting the product scope in paragraph (a)(1) of this section when you have ‘‘knowledge’’ at the time of export, reexport, or transfer (in-country) that the item is destined for the end-use described in paragraph (a)(2) of this section. (1) Product scope. Any of the following items meet the product scope of the prohibition in this section: (i) An integrated circuit (IC) subject to the EAR and specified in ECCN 3A001, 3A991, 4A994, 5A002, 5A004, or 5A992 when you know the item will be used in an end use described under paragraph (a)(2)(i) or (ii) of this section; (ii) A computer, ‘‘electronic assembly,’’ or ‘‘component’’ subject to the EAR and specified in ECCN 4A003, 4A004, 4A994, 5A002, 5A004, or 5A992 when you know the item will be used in an end use described under paragraph (a)(2)(i) or (ii) of this section; (iii) Any items subject to the EAR when you know the items will be used in an end use described in paragraphs (a)(2)(iii)(A) through (C) of this section; (iv) Any items subject to the EAR and classified in an ECCN in Product Groups B, C, D, or E in Category 3 of the CCL when you know the items will be used in an end use described in paragraph (a)(2)(iv) of this section; or (v) Any item subject to the EAR when you know the item will be used in an end use described in paragraph (a)(2)(v) of this section. (2) End-use scope. The following activities meet the end-use scope of the prohibition in this section: (i) The ‘‘development,’’ ‘‘production,’’ ‘‘use,’’ operation, installation (including on-site installation), maintenance (checking), repair, overhaul, or refurbishing of a ‘‘supercomputer’’ located in or destined to the PRC; (ii) The incorporation into, or the ‘‘development’’ or ‘‘production’’ of any ‘‘component’’ or ‘‘equipment’’ that will be used in a ‘‘supercomputer’’ located in or destined to the PRC; or (iii) The ‘‘development’’ or ‘‘production,’’ of integrated circuits at a PO 00000 Frm 00017 Fmt 4701 Sfmt 4700 62201 semiconductor fabrication ‘‘facility’’ located in the PRC that fabricates integrated circuits meeting any of the following criteria: (A) Logic integrated circuits using a non-planar transistor architecture or with a ‘‘production’’ technology node of 16/14 nanometers or less; (B) NOT AND (NAND) memory integrated circuits with 128 layers or more; or (C) Dynamic random-access memory (DRAM) integrated circuits using a ‘‘production’’ technology node of 18 nanometer half-pitch or less; or (iv) The ‘‘development’’ or ‘‘production’’ of integrated circuits at any semiconductor fabrication ‘‘facility’’ located in the PRC, but you do not know whether such semiconductor fabrication ‘‘facility’’ fabricates integrated circuits that meet any of the criteria in paragraphs (a)(2)(iii)(A) through (C) of this section; or (v) The ‘‘development’’ or ‘‘production’’ in the PRC of any ‘‘parts,’’ ‘‘components,’’ or ‘‘equipment’’ specified under ECCN 3B001, 3B002, 3B090, 3B611, 3B991, or 3B992. (b) Additional prohibition on persons informed by BIS. BIS may inform persons, either individually by specific notice or through amendment to the EAR published in the Federal Register, that a license is required for a specific export, reexport, or transfer (in-country) of any item subject to the EAR to a certain end-user, because there is an unacceptable risk of use in, or diversion to, the activities specified in paragraph (a)(2) of this section. Specific notice is to be given only by, or at the direction of, the Deputy Assistant Secretary for Export Administration. When such notice is provided orally, it will be followed by a written notice within two working days signed by the Deputy Assistant Secretary for Export Administration or the Deputy Assistant Secretary’s designee. However, the absence of any such notification does not excuse persons from compliance with the license requirements of paragraph (a) of this section. (c) License exceptions. No license exceptions may overcome the prohibition described in paragraph (a) of this section. (d) License review standards. There is a presumption of denial for applications to export, reexport, or transfer (incountry) items described in paragraph (a)(1) of this section that are for end uses described in paragraph (a)(2) of this section, except for items controlled under paragraph (a)(2)(iii) of this section for end users in China that are headquartered in the United States or in a Country Group A:5 or A:6 country, E:\FR\FM\13OCR2.SGM 13OCR2 62202 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations which will be considered on a case-bycase basis taking into account factors including technology level, customers and compliance plans. ■ 19. Effective on October 21, 2022, supplement no. 4 is amended by: ■ a. Revising Under CHINA the entries for ‘‘Beijing Institute of Technology,’’ ‘‘Beijing Sensetime Technology Development Co., Ltd.,’’ ‘‘Changsha Jingjia Microelectronics Co., Ltd.,’’ ‘‘Chengdu Haiguang Integrated Circuit,’’ ‘‘Chengdu Haiguang Microelectronics Technology,’’ ‘‘China Aerospace Science and Technology Corporation (CASC) 9th Academy 772 Research Institute,’’ ‘‘Dahua Technology,’’ ‘‘Harbin institute Country * CHINA, PEOPLE’S REPUBLIC OF. of Technology,’’ ‘‘Higon,’’ ‘‘IFLYTEK,’’ ‘‘Intellifusion,’’ ‘‘Megvii Technology,’’ ‘‘National Supercomputing Center Changsha (NSCC–CS),’’ ‘‘National Supercomputing Center Guangzhou (NSCC–GZ),’’ ‘‘National Supercomputing Center Jinan,’’ ‘‘National Supercomputing Center Shenzhen,’’ ‘‘National Supercomputing Center Tianjin (NSCC–TJ),’’ ‘‘National Supercomputing Center Wuxi,’’ ‘‘National Supercomputer Center Zhengzhou,’’ ‘‘National University of Defense Technology (NUDT),’’ ‘‘New H3C Semiconductor Technologies Co., Ltd.,’’ ‘‘Northwestern Polytechnical University,’’ ‘‘Shanghai High- Entity * * License requirement * * * * Beijing Institute of Technology, No. 5 South Zhongguancun Street, Haidian District, Beijing, China. khammond on DSKJM1Z7X2PROD with RULES2 * * Beijing Sensetime Technology Development Co., Ltd., a.k.a., the following two aliases: —Beijing Shangtang Technology Development Co., Ltd.; and —Sense Time. 5F Block B, Science and Technology Building, Tsing-hua Science Park, Haidian District, Beijing, China. * * Changsha Jingjia Microelectronics Co., Ltd.,902, Building B1, Lugu Science and Technology Innovation Pioneer Park, 1698 Yuelu West Ave., Changsha High-tech Development Zone; and Building 3, Changsha Productivity Promotion Center, No. 2, Lujing Rd., Yuelu District, Changsha City, Hunan Province; and No. 1, Meixihu Road, Yuelu District, Changsha City, Hunan Province, 410221; and Room 1501, Aipu Building, 395 Xinshi North Road, Shijiazhuang City, Hebei Province, China. * * Chengdu Haiguang Integrated Circuit, a.k.a., the following two aliases: —Hygon; and —Chengdu Haiguang Jincheng Dianlu Sheji. China (Sichuan) Free Trade Zone, No. 22–31, 11th Floor, E5, Tianfu Software Park, No. 1366, Middle Section of Tianfu Avenue, Chengdu Hightech Zone, Chengdu, China. VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 PO 00000 * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. Fmt 4701 The revisions and addition read as follows: Supplement No. 4 to Part 744—Entity List * * * Sfmt 4700 * * Federal Register citation License review policy * * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. Frm 00018 Performance Integrated Circuit Design Center,’’ ‘‘Sugon,’’ ‘‘Sunway Microelectronics,’’ ‘‘Tianjin Phytium Information Technology,’’ ‘‘Wuxi Jiangnan Institute of Computing Technology,’’ and ‘‘Yitu Technologies’’; and ■ b. Revising footnote 1 and adding footnote 4. * * * * Presumption of denial ...... 85 FR 83420, 12/22/20. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * * Case-by-case review for ECCNs 1A004.c, 1A004.d, 1A995, 1A999.a, 1D003, 2A983, 2D983, and 2E983, and for EAR99 items described in the Note to ECCN 1A995; case-by-case review for items necessary to detect, identify and treat infectious disease; and presumption of denial for all other items subject to the EAR. * * Presumption of denial ...... * 84 FR 54004, 10/9/19. 85 FR 34505, 6/5/20. 85 FR 44159, 7/22/20. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * * Presumption of denial ...... E:\FR\FM\13OCR2.SGM 13OCR2 * 86 FR 71560, 12/17/21. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * 84 FR 29373, 6/24/19. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. 62203 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations Entity License requirement License review policy Federal Register citation Chengdu Haiguang Microelectronics Technology, a.k.a., the following two aliases: —HMC; and —Chengdu Haiguang Wei Dianzi Jishu. China (Sichuan) Free Trade Zone, No. 23–32, 12th Floor, E5, Tianfu Software Park, No. 1366, Middle Section of Tianfu Avenue, Chengdu Hightech Zone, Chengdu, China. * * China Aerospace Science and Technology Corporation (CASC) 9th Academy 772 Research Institute, a.k.a., the following four aliases: —772 Research Institute; —Beijing Institute of Microelectronics Technology; —Beijing Microelectronics Technology Institute; and —BMTI. No. 2, Siyingmen North Road, Donggaodi, Fengtai District, Beijing, China. * * Dahua Technology, 807, Block A, Meike Building No. 506, Beijing South Road, New City, Urumqi, Xinjiang, China; 1199 Bin’an Road, Binjiang High-tech Zone, Hangzhou, China; and 6/F, Block A, Dacheng Erya, Huizhan Avenue, Urumqi, China; and No. 1187, Bin’an Road, Binjiang District, Hangzhou City, Zhejiang Province, China. * * Harbin Institute of Technology, No. 92 Xidazhi Street, Nangang District, Harbin, Heilongjiang, China; and No. 92 West Dazhi Street, Nangang District, Harbin, Heilongjiang, China; and No. 2 West Wenhua Road, Weihai, Shandong, China; and Pingshan 1st Road, Shenzhen, Guangdong, China. * * Higon, a.k.a., the following five aliases: —Higon Information Technology; —Haiguang Xinxi Jishu Youxian Gongsi; —THATIC; —Tianjing Haiguang Advanced Technology Investment; and —Tianjing Haiguang Xianjin Jishu Touzi Youxian Gongsi. Industrial Incubation-3–8, North 2–204, 18 Haitai West Road, Huayuan Industrial Zone, Tianjin, China. * * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. Presumption of denial ...... 84 FR 29373, 6/24/19. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Presumption of denial ...... * 87 FR 51877, 8/24/22. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Presumption of denial ...... * 84 FR 54004, 10/9/19. 85 FR 44159, 7/22/20. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Presumption of denial ...... * 85 FR 34497, 6/5/20. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Presumption of denial ...... * 84 FR 29373, 6/24/19. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. khammond on DSKJM1Z7X2PROD with RULES2 Country VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 PO 00000 Frm 00019 * Fmt 4701 * Sfmt 4700 E:\FR\FM\13OCR2.SGM * 13OCR2 * 62204 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations Entity License requirement License review policy Federal Register citation IFLYTEK, National Intelligent Speech High-tech Industrialization Base, No. 666, Wangjiang Road West, Hefei City, Anhui Province, China. For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. 84 FR 54004, 10/9/19. 85 FR 44159, 7/22/20. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * * Intellifusion, a.k.a., the following two aliases: —Shenzhen Yuntian Lifei Technology Co., Ltd.; —Yuntian Lifei. 1st Floor, Building 17, Shenzhen Dayun Software Town, 8288 Longgang Avenue, Yuanshan District, Longgang District, Shenzhen, China. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Megvii Technology, 3rd Floor, Block A, Rongke Information Center, No. 2 South Road, Haidian District, Beijing, China; and Floor 3rd Unit A Raycom Infotech Park, No 2 Kexueyuan, Beijing, China. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * National Supercomputing Center Changsha (NSCC–CS), Changsha City, Hunan Province, China. National Supercomputing Center Guangzhou (NSCC–GZ), Sun Yat-Sen University, University City, Guangzhou, China. National Supercomputing Center Jinan, a.k.a., the following two aliases: —Shandong Computing Center; and —NSCC–JN. No. 1768, Xinluo Street, High-tech Development Zone, Jinan City, Shandong Province, China. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. Case-by-case review for ECCNs 1A004.c, 1A004.d, 1A995, 1A999.a, 1D003, 2A983, 2D983, and 2E983, and for EAR99 items described in the Note to ECCN 1A995; case-by-case review for items necessary to detect, identify and treat infectious disease; and presumption of denial for all other items subject to the EAR. * * Case-by-case review for ECCNs 1A004.c, 1A004.d, 1A995, 1A999.a, 1D003, 2A983, 2D983, and 2E983, and for EAR99 items described in the Note to ECCN 1A995; case-by-case review for items necessary to detect, identify and treat infectious disease; and presumption of denial for all other items subject to the EAR. * * Case-by-case review for ECCNs 1A004.c, 1A004.d, 1A995, 1A999.a, 1D003, 2A983, 2D983, and 2E983, and for EAR99 items described in the Note to ECCN 1A995; case-by-case review for items necessary to detect, identify and treat infectious disease; and presumption of denial for all other items subject to the EAR. * * Presumption of denial ...... khammond on DSKJM1Z7X2PROD with RULES2 Country VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 PO 00000 Frm 00020 Fmt 4701 Sfmt 4700 * 85 FR 34505, 6/5/20. 85 FR 44159, 7/22/20. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * 84 FR 54004, 10/9/19. 85 FR 44159, 7/22/20. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * 80 FR 8527, 2/18/15. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. Presumption of denial ...... 80 FR 8527, 2/18/15. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. Presumption of denial ...... 86 FR 18438, 4/9/21. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. E:\FR\FM\13OCR2.SGM 13OCR2 62205 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations Entity License requirement License review policy Federal Register citation National Supercomputing Center Shenzhen, a.k.a., the following three aliases: —The National Supercomputing Shenzhen Center; —Shenzhen Cloud Computing Center; and —NSCC–SZ. No. 9 Duxue Road, University Town Community, Taoyuan Street, Nanshan District, Shenzhen, China. National Supercomputing Center Tianjin (NSCC–TJ), 7th Street, Binhai New Area, Tianjin, China. National Supercomputing Center Wuxi, a.k.a., the following one alias: —NSCC–WX. No. 1, Yinbai Road, Binhu District, Wuxi City, China. National Supercomputer Center Zhengzhou, a.k.a., the following one alias: —NSCC–ZZ. Southeast of the intersection of Fengyang Street and Changchun Road, Zhongyuan District, Zhengzhou City, China; and 1st Floor, Building 18, Zhengzhou University (South Campus), Zhengzhou City, China; and Room 213, Institute of Drug Research, Zhengzhou University, Changchun Road, High-tech Zone, Zhengzhou City, China. National University of Defense Technology (NUDT), a.k.a., the following three aliases: —Central South CAD Center; —CSCC; and —Hunan Guofang Keji University. Garden Road (Metro West), Changsha City, Kaifu District, Hunan Province, China; and 109 Deya Road, Kaifu District, Changsha City, Hunan Province, China; and 47 Deya Road, Kaifu District, Changsha City, Hunan Province, China; and 147 Deya Road, Kaifu District, Changsha City, Hunan Province, China; and 47 Yanwachi, Kaifu District, Changsha, Hunan, China; and Wonderful Plaza, Sanyi Avenue, Kaifu District, Changsha, China; and No. 54 Beiya Road, Changsha, China; and No. 54 Deya Road, Changsha, China. * * New H3C Semiconductor Technologies Co., Ltd., No. 1, Floor 1, Unit 1, Building 4, No. 219, Tianhua 2nd Rd., Chengdu High-Tech Zone, China (Sichuan) Pilot Free Trade Zone, China; and Beijing Branch—Room 401, 4th Floor, Building 1, No. 8 Yard, Yongjia North Road, Haidian District, Beijing, China; and Shanghai Branch—No. 666 Shengxia Rd., 122 Yindong Rd., China (Shanghai) Pilot Free Trade Zone, China. * * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. Presumption of denial ...... 86 FR 18438, 4/9/21. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. Presumption of denial ...... 80 FR 8527, 2/18/15. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. Presumption of denial ...... 86 FR 18438, 4/9/21. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. Presumption of denial ...... 86 FR 18438, 4/9/21. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. Presumption of denial ...... 80 FR 8527, 2/18/15. 84 FR 29373, 6/24/19, 87 FR 38925, 6/30/22. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Presumption of denial ...... * 86 FR 67319. 11/26/21. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. khammond on DSKJM1Z7X2PROD with RULES2 Country VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 PO 00000 Frm 00021 * Fmt 4701 * Sfmt 4700 E:\FR\FM\13OCR2.SGM * 13OCR2 * 62206 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations Entity License requirement License review policy Federal Register citation Northwestern Polytechnical University, a.k.a., the following three aliases: —Northwestern Polytechnic University; —Northwest Polytechnic University; and —Northwest Polytechnical University. 127 Yonyi Xilu, Xi’an 71002 Shaanxi, China; and Youyi Xi Lu, Xi’an, Shaanxi, China; and No. 1 Bianjia Cun, Xi’an; and West Friendship Rd. 59, Xi’an; and 3 10 W Apt 3, Xi’an. * * Shanghai High-Performance Integrated Circuit Design Center, a.k.a., the following two aliases: —Shenwei Micro; and —Shanghai High-Performance IC Design Center. No. 399, Bi sheng Road, Zhangjiang Hi-Tech Park, Pudong New Area, Shanghai, China; and 428 Zhanghen Rd, Zhangjiang High Tech Park, Pudong District, Shanghai, China. * * Sugon, a.k.a., the following nine aliases: —Dawning; —Dawning Information Industry; —Sugon Information Industry; —Shuguang; —Shuguang Information Industry; —Zhongke Dawn; —Zhongke Shuguang; —Dawning Company; and —Tianjin Shuguang Computer Industry. Sugon Building, No. 36 Zhongguancun Software Park, No. 8 Dongbeiwang West Road, Haidian District, Beijing; and No. 15, Haitai Huake Street, Huayuan Industrial Zone, Tianjin; and Sugon Science and Technology Park, No. 64 Shuimo West Street, Haidian District, Beijing, China. * * Sunway Microelectronics, a.k.a., the following two aliases: —Chengdu Shenwei Technology; and —Chengdu Sunway Technology. Building D22, Electronic Science and Technology Park, Section 4, Huafu Avenue, Chengdu, China; and Shuangxing Avenue, Gongxing Street, Southwest Airport Economic Development Zone, Shuangliu District, Chengdu, China. * * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. Presumption of denial ...... 66 FR 24266, 5/14/01. 75 FR 78883, 12/17/10. 77 FR 58006, 9/19/12. 81 FR 64696, 9/20/16. 84 FR 40241, 8/14/19. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Presumption of denial ...... * 86 FR 18438, 4/9/21. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Presumption of denial ...... * 84 FR 29373, 6/24/19. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Presumption of denial ...... * 86 FR 18438, 4/9/21. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. khammond on DSKJM1Z7X2PROD with RULES2 Country VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 PO 00000 Frm 00022 * Fmt 4701 * Sfmt 4700 E:\FR\FM\13OCR2.SGM * 13OCR2 * 62207 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations Entity License requirement License review policy Federal Register citation Tianjin Phytium Information Technology, a.k.a., the following three aliases: —Phytium; —Phytium Technology; and —Tianjin Feiteng Information Technology. Bldg 5 Xin’an Venture Plaza 1 Haiyuan M Rd Binhai New Area Tianjin, 300450 China; and Building 5, Xin’an Chuangye Plaza, No. 1, Haiyuan Middle Road, Binhai New District, Tianjin, China; and 8th Floor, Quantum Core Tower, No.27 Zhichun Road, Haidian District, Beijing, China; and 10th Floor, Office Building, Wangdefu Kaiyue International Building, No.526 Sanyi Avenue, Kaifu District, Changsha City, Hunan Province; China; and Room 101, No. 1012, Hulin Road, Huangpu District, Guangzhou, China; and 100 Waihuanxi Rd, 3F–326 Science Pavilion, Panyu District, Guangdong, Guangzhou, China. * * Wuxi Jiangnan Institute of Computing Technology, a.k.a., the following two aliases: —Jiangnan Institute of Computing Technology; and —JICT. No. 699, Shanshui East Road, Binhu District, Wuxi City, China, and No. 188, Shanshui East Road, Binhu District, Wuxi City, China. * * Yitu Technologies, 23F, Shanghai Arch Tower I, 523 Loushanguan Rd, Changning District, Shanghai, China. For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. Presumption of denial ...... 86 FR 18438, 4/9/21. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Presumption of denial ...... * 84 FR 29373, 6/24/19. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. * For all items subject to the EAR. (See §§ 734.9(e) and 744.11 of the EAR) 4. * * Case-by-case review for ECCNs 1A004.c, 1A004.d, 1A995, 1A999.a, 1D003, 2A983, 2D983, and 2E983, and for EAR99 items described in the Note to ECCN 1A995; case-by-case review for items necessary to detect, identify and treat infectious disease; and presumption of denial for all other items subject to the EAR. * * * 84 FR 54004, 10/9/19. 85 FR 44159, 7/22/20. 87 FR [INSERT FR PAGE NUMBER, 10/13/22. Country * * * * * * * * * * * 1 For this entity, ‘‘items subject to the EAR’’ includes foreign-produced items that are subject to the EAR under § 734.9(e)(1) of the EAR. See § 744.11(a)(2)(i) for related license requirements and license review policy for these items. * * * * * * * 4 For this entity, ‘‘items subject to the EAR’’ includes foreign-produced items that are subject to the EAR under § 734.9(e)(2) of the EAR. See § 744.11(a)(2)(ii) for related license requirements and license review policy. khammond on DSKJM1Z7X2PROD with RULES2 20. The authority citation for part 762 continues to read as follows: ■ Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.; 50 U.S.C. 1701 et seq.; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783. VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 21. Effective on October 21, 2022, § 762.2 is amended by redesignating paragraphs (b)(3) through (31) as paragraphs (b)(4) through (32) and adding new paragraph (b)(3) to read as follows: ■ PART 762—RECORDKEEPING § 762.2 * PO 00000 * (b) * * * (3) Section 734.9(h), Foreign Direct Product (FDP) supply chain certification; * * * * * Records to be retained. * Frm 00023 * Fmt 4701 * Sfmt 4700 E:\FR\FM\13OCR2.SGM 13OCR2 62208 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations PART 772—DEFINITIONS OF TERMS 22. The authority citation for part 772 continues to read as follows: ■ Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.; 50 U.S.C. 1701 et seq.; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783. 23. Effective on October 21, 2022, § 772.1 is amended by adding a definition for ‘‘Supercomputer’’ in alphabetical order to read as follows: 3B090 Semiconductor manufacturing equipment, not Controlled by 3B001, as follows (see List of Items Controlled) and ‘‘specially designed’’ ‘‘parts,’’ ‘‘components,’’ and ‘‘accessories’’ therefor. License Requirements Reason for Control: RS, AT ■ Control(s) § 772.1 Definitions of terms as used in the Export Administration Regulations (EAR). * * * * * Supercomputer. (734, 744) A computing ‘‘system’’ having a collective maximum theoretical compute capacity of 100 or more double-precision (64-bit) petaflops or 200 or more singleprecision (32-bit) petaflops within a 41,600 ft3 or smaller envelope. Note 1 to ‘‘Supercomputer’’: The 41,600 ft3 envelope corresponds, for example, to a 4x4x6.5 ft rack size and therefore 6,400 ft2 of floor space. The envelope may include empty floor space between racks as well as adjacent floors for multi-floor systems. Note 2 to ‘‘Supercomputer’’: Typically, a ‘supercomputer’ is a highperformance multi-rack system having thousands of closely coupled compute cores connected in parallel with networking technology and having a high peak power capacity requiring cooling elements. They are used for computationally intensive tasks including scientific and engineering work. Supercomputers may include shared memory, distributed memory, or a combination of both. * * * * * PART 774—THE COMMERCE CONTROL LIST 24. The authority citation for part 774 continues to read as follows: ■ Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.; 50 U.S.C. 1701 et seq.; 10 U.S.C. 8720; 10 U.S.C. 8730(e); 22 U.S.C. 287c, 22 U.S.C. 3201 et seq.; 22 U.S.C. 6004; 42 U.S.C. 2139a; 15 U.S.C. 1824; 50 U.S.C. 4305; 22 U.S.C. 7201 et seq.; 22 U.S.C. 7210; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783. 25. Effective on October 7, 2022, supplement no. 1 to part 774 is amended by adding ECCN 3B090 after ECCN 3B002 and revising ECCNs 3B991, 3D001, and 3E001 to read as follows: khammond on DSKJM1Z7X2PROD with RULES2 ■ Supplement No. 1 to Part 774—The Commerce Control List * * * VerDate Sep<11>2014 * * 18:05 Oct 12, 2022 Jkt 259001 RS applies to entire entry. AT applies to entire entry. Country chart (See Supp. No. 1 to part 738) China (see § 742.6(a)(6)) AT Column 1 List Based License Exceptions (See Part 740 for a description of all license exceptions) LVS: N/A GBS: N/A List of Items Controlled Related Controls: N/A Related Definitions: N/A Items: a. Semiconductor manufacturing deposition equipment, as follows: a.1. Equipment for depositing cobalt through electroplating processes. a.2. Chemical vapor deposition equipment capable of deposition of cobalt or tungsten fill metal having a void/seam having a largest dimension less than or equal to 3 nm in the fill metal using a bottom-up fill process. a.3 Equipment capable of fabricating a metal contact within one processing chamber by: a.3.a. Depositing a layer using an organometallic tungsten compound while maintaining the wafer substrate temperature between 100 °C and 500 °C; and a.3.b. Conducting a plasma process where the chemistries include hydrogen, including H2+N2 and NH3. a.4. Equipment capable of fabricating a metal contact in a vacuum environment by: a.4.a. Using a surface treatment during a plasma process where the chemistries include hydrogen, including H2, H2+N2, and NH3, while maintaining the wafer substrate temperature between 100 °C and 500 °C; a.4.b. Using a surface treatment consisting of a plasma process where the chemistries include oxygen (including O2 and O3) while maintaining the wafer substrate temperature between 40 °C and 500 °C; and a.4.c. Depositing a tungsten layer while maintaining the wafer substrate temperature between 100 °C and 500 °C. a.5. Equipment capable of depositing a cobalt metal layer selectively in a vacuum environment where the first step uses a remote plasma generator and an ion filter, and the second step is the deposition of the cobalt layer using an organometallic compound. Note: This control does not apply to equipment that is non-selective. a.6. Physical vapor deposition equipment capable of depositing a cobalt layer with a thickness of 10 nm or less on a top surface of a copper or cobalt metal interconnect. a.7. Atomic layer deposition equipment capable of depositing a ‘work function metal’ for the purpose of adjusting transistor PO 00000 Frm 00024 Fmt 4701 Sfmt 4700 electrical parameters by delivering an organometallic aluminum compound and a titanium halide compound onto a wafer substrate. Technical note: ‘Work function metal’ is a material that controls the threshold voltage of a transistor. a.8. Equipment capable of fabricating a metal contact in a vacuum environment by depositing all of the following: a.8.a. A titanium nitride (TiN) or tungsten carbide (WC) layer using an organometallic compound while maintaining the wafer substrate temperature between 20 °C and 500 °C; a.8.b. A cobalt layer using a physical sputter deposition technique where the process pressure is 1–100 mTorr while maintaining the wafer substrate temperature below 500 °C; and a.8.c. A cobalt layer using an organometallic compound, where the process pressure is 1–100 Torr, and the wafer substrate temperature is maintained between 20 °C and 500 °C. a.9. Equipment capable of fabricating copper metal interconnects in a vacuum environment that deposits all of the following: a.9.a. A cobalt or ruthenium layer using organometallic compound where the process pressure is 1–100 Torr, and the wafer substrate temperature is maintained between 20 °C and 500 °C; and a.9.b. A copper layer using a physical vapor deposition technique where the process pressure is 1–100m Torr and the wafer substrate temperature is maintained below 500 °C. a.10. Equipment capable of area selective deposition of a barrier or liner using an organometallic compound. Note: 3B090.a.10 includes equipment capable of area selective deposition of a barrier layer to enable fill metal contact to an underlying electrical conductor without a barrier layer at the fill metal via interface to an underlying electrical conductor. a.11. Atomic layer deposition equipment capable of producing a void/seam free fill of tungsten or cobalt in a structure having an aspect ratio greater than 5:1, with openings smaller than 40 nm, and at temperatures less than 500 °C. * * * * * 3B991 Equipment, not controlled by 3B001 or 3B090, for the manufacture of electronic ‘‘parts,’’ ‘‘components’’ and materials, and ‘‘specially designed’’ ‘‘parts,’’ ‘‘components’’ and ‘‘accessories’’ therefor. License Requirements Reason for Control: AT Control(s) AT applies to entire entry. Country chart (See Supp. No. 1 to part 738) AT Column 1 List Based License Exceptions (See Part 740 for a description of all license exceptions) LVS: N/A GBS: N/A E:\FR\FM\13OCR2.SGM 13OCR2 khammond on DSKJM1Z7X2PROD with RULES2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations List of Items Controlled Related Controls: N/A Related Definitions: ‘Sputtering’ is an overlay coating process wherein positively charged ions are accelerated by an electric field towards the surface of a target (coating material). The kinetic energy of the impacting ions is sufficient to cause target surface atoms to be released and deposited on the substrate. (Note: Triode, magnetron or radio frequency sputtering to increase adhesion of coating and rate of deposition are ordinary modifications of the process.) Items: a. Equipment ‘‘specially designed’’ for the manufacture of electron tubes, optical elements and ‘‘specially designed’’ ‘‘parts’’ and ‘‘components’’ therefor controlled by 3A001 or 3A991; b. Equipment ‘‘specially designed’’ for the manufacture of semiconductor devices, integrated circuits and ‘‘electronic assemblies’’, as follows, and systems incorporating or having the characteristics of such equipment: Note: 3B991.b also controls equipment used or modified for use in the manufacture of other devices, such as imaging devices, electro-optical devices, acoustic-wave devices. b.1. Equipment for the processing of materials for the manufacture of devices, ‘‘parts’’ and ‘‘components’’ as specified in the heading of 3B991.b, as follows: Note: 3B991 does not control quartz furnace tubes, furnace liners, paddles, boats (except ‘‘specially designed’’ caged boats), bubblers, cassettes or crucibles ‘‘specially designed’’ for the processing equipment controlled by 3B991.b.1. b.1.a. Equipment for producing polycrystalline silicon and materials controlled by 3C001; b.1.b. Equipment ‘‘specially designed’’ for purifying or processing III/V and II/VI semiconductor materials controlled by 3C001, 3C002, 3C003, 3C004, or 3C005 except crystal pullers, for which see 3B991.b.1.c below; b.1.c. Crystal pullers and furnaces, as follows: Note: 3B991.b.1.c does not control diffusion and oxidation furnaces. b.1.c.1. Annealing or recrystallizing equipment other than constant temperature furnaces employing high rates of energy transfer capable of processing wafers at a rate exceeding 0.005 m2 per minute; b.1.c.2. ‘‘Stored program controlled’’ crystal pullers having any of the following characteristics: b.1.c.2.a. Rechargeable without replacing the crucible container; b.1.c.2.b. Capable of operation at pressures above 2.5 × 105 Pa; or b.1.c.2.c. Capable of pulling crystals of a diameter exceeding 100 mm; b.1.d. ‘‘Stored program controlled’’ equipment for epitaxial growth having any of the following characteristics: b.1.d.1. Capable of producing silicon layer with a thickness uniform to less than ±2.5% across a distance of 200 mm or more; b.1.d.2. Capable of producing a layer of any material other than silicon with a thickness uniformity across the wafer of equal to or better than ± 3.5%; or VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 b.1.d.3. Rotation of individual wafers during processing; b.1.e. Molecular beam epitaxial growth equipment; b.1.f. Magnetically enhanced ‘sputtering’ equipment with ‘‘specially designed’’ integral load locks capable of transferring wafers in an isolated vacuum environment; b.1.g. Equipment ‘‘specially designed’’ for ion implantation, ion-enhanced or photoenhanced diffusion, having any of the following characteristics: b.1.g.1. Patterning capability; b.1.g.2. Beam energy (accelerating voltage) exceeding 200 keV; b.1.g.3 Optimized to operate at a beam energy (accelerating voltage) of less than 10 keV; or b.1.g.4. Capable of high energy oxygen implant into a heated ‘‘substrate’’; b.1.h. ‘‘Stored program controlled’’ equipment for the selective removal (etching) by means of anisotropic dry methods (e.g., plasma), as follows: b.1.h.1. Batch types having either of the following: b.1.h.1.a. End-point detection, other than optical emission spectroscopy types; or b.1.h.1.b. Reactor operational (etching) pressure of 26.66 Pa or less; b.1.h.2. Single wafer types having any of the following: b.1.h.2.a. End-point detection, other than optical emission spectroscopy types; b.1.h.2.b. Reactor operational (etching) pressure of 26.66 Pa or less; or b.1.h.2.c. Cassette-to-cassette and load locks wafer handling; Notes: 1. ‘‘Batch types’’ refers to machines not ‘‘specially designed’’ for production processing of single wafers. Such machines can process two or more wafers simultaneously with common process parameters, e.g., RF power, temperature, etch gas species, flow rates. 2. ‘‘Single wafer types’’ refers to machines ‘‘specially designed’’ for production processing of single wafers. These machines may use automatic wafer handling techniques to load a single wafer into the equipment for processing. The definition includes equipment that can load and process several wafers but where the etching parameters, e.g., RF power or end point, can be independently determined for each individual wafer. b.1.i. ‘‘Chemical vapor deposition’’ (CVD) equipment, e.g., plasma-enhanced CVD (PECVD) or photo-enhanced CVD, for semiconductor device manufacturing, having either of the following capabilities, for deposition of oxides, nitrides, metals or polysilicon: b.1.i.1. ‘‘Chemical vapor deposition’’ equipment operating below 105 Pa; or b.1.i.2. PECVD equipment operating either below 60 Pa (450 millitorr) or having automatic cassette-to-cassette and load lock wafer handling; Note: 3B991.b.1.i does not control low pressure ‘‘chemical vapor deposition’’ (LPCVD) systems or reactive ‘‘sputtering’’ equipment. b.1.j. Electron beam systems ‘‘specially designed’’ or modified for mask making or semiconductor device processing having any of the following characteristics: PO 00000 Frm 00025 Fmt 4701 Sfmt 4700 62209 b.1.j.1. Electrostatic beam deflection; b.1.j.2. Shaped, non-Gaussian beam profile; b.1.j.3. Digital-to-analog conversion rate exceeding 3 MHz; b.1.j.4. Digital-to-analog conversion accuracy exceeding 12 bit; or b.1.j.5. Target-to-beam position feedback control precision of 1 micrometer or finer; Note: 3B991.b.1.j does not control electron beam deposition systems or general purpose scanning electron microscopes. b.1.k. Surface finishing equipment for the processing of semiconductor wafers as follows: b.1.k.1. ‘‘Specially designed’’ equipment for backside processing of wafers thinner than 100 micrometer and the subsequent separation thereof; or b.1.k.2. ‘‘Specially designed’’ equipment for achieving a surface roughness of the active surface of a processed wafer with a two-sigma value of 2 micrometer or less, total indicator reading (TIR); Note: 3B991.b.1.k does not control singleside lapping and polishing equipment for wafer surface finishing. b.1.l. Interconnection equipment which includes common single or multiple vacuum chambers ‘‘specially designed’’ to permit the integration of any equipment controlled by 3B991 into a complete system; b.1.m. ‘‘Stored program controlled’’ equipment using ‘‘lasers’’ for the repair or trimming of ‘‘monolithic integrated circuits’’ with either of the following characteristics: b.1.m.1. Positioning accuracy less than ± 1 micrometer; or b.1.m.2. Spot size (kerf width) less than 3 micrometer. b.2. Masks, mask ‘‘substrates,’’ maskmaking equipment and image transfer equipment for the manufacture of devices, ‘‘parts’’ and ‘‘components’’ as specified in the heading of 3B991, as follows: Note: The term ‘‘masks’’ refers to those used in electron beam lithography, X-ray lithography, and ultraviolet lithography, as well as the usual ultraviolet and visible photo-lithography. b.2.a. Finished masks, reticles and designs therefor, except: b.2.a.1. Finished masks or reticles for the production of unembargoed integrated circuits; or b.2.a.2. Masks or reticles, having both of the following characteristics: b.2.a.2.a. Their design is based on geometries of 2.5 micrometer or more; and b.2.a.2.b. The design does not include special features to alter the intended use by means of production equipment or ‘‘software’’; b.2.b. Mask ‘‘substrates’’ as follows: b.2.b.1. Hard surface (e.g., chromium, silicon, molybdenum) coated ‘‘substrates’’ (e.g., glass, quartz, sapphire) for the preparation of masks having dimensions exceeding 125 mm x 125 mm; or b.2.b.2. ‘‘Substrates’’ ‘‘specially designed’’ for X-ray masks; b.2.c. Equipment, other than general purpose computers, ‘‘specially designed’’ for computer aided design (CAD) of semiconductor devices or integrated circuits; b.2.d. Equipment or machines, as follows, for mask or reticle fabrication: E:\FR\FM\13OCR2.SGM 13OCR2 khammond on DSKJM1Z7X2PROD with RULES2 62210 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations b.2.d.1. Photo-optical step and repeat cameras capable of producing arrays larger than 100 mm x 100 mm, or capable of producing a single exposure larger than 6 mm x 6 mm in the image (i.e., focal) plane, or capable of producing line widths of less than 2.5 micrometer in the photoresist on the ‘‘substrate’’; b.2.d.2. Mask or reticle fabrication equipment using ion or ‘‘laser’’ beam lithography capable of producing line widths of less than 2.5 micrometer; or b.2.d.3. Equipment or holders for altering masks or reticles or adding pellicles to remove defects; Note: 3B991.b.2.d.1 and b.2.d.2 do not control mask fabrication equipment using photo-optical methods which was either commercially available before the 1st January, 1980, or has a performance no better than such equipment. b.2.e. ‘‘Stored program controlled’’ equipment for the inspection of masks, reticles or pellicles with: b.2.e.1. A resolution of 0.25 micrometer or finer; and b.2.e.2. A precision of 0.75 micrometer or finer over a distance in one or two coordinates of 63.5 mm or more; Note: 3B991.b.2.e does not control general purpose scanning electron microscopes except when ‘‘specially designed’’ and instrumented for automatic pattern inspection. b.2.f. Align and expose equipment for wafer production using photo-optical or Xray methods, e.g., lithography equipment, including both projection image transfer equipment and step and repeat (direct step on wafer) or step and scan (scanner) equipment, capable of performing any of the following functions: Note: 3B991.b.2.f does not control photooptical contact and proximity mask align and expose equipment or contact image transfer equipment. b.2.f.1. Production of a pattern size of less than 2.5 micrometer; b.2.f.2. Alignment with a precision finer than ± 0.25 micrometer (3 sigma); b.2.f.3. Machine-to-machine overlay no better than ± 0.3 micrometer; or b.2.f.4. A light source wavelength shorter than 400 nm; b.2.g. Electron beam, ion beam or X-ray equipment for projection image transfer capable of producing patterns less than 2.5 micrometer; Note: For focused, deflected-beam systems(direct write systems), see 3B991.b.1.j or b.10. b.2.h. Equipment using ‘‘lasers’’ for direct write on wafers capable of producing patterns less than 2.5 micrometer. b.3. Equipment for the assembly of integrated circuits, as follows: b.3.a. ‘‘Stored program controlled’’ die bonders having all of the following characteristics: b.3.a.1. ‘‘Specially designed’’ for ‘‘hybrid integrated circuits’’; b.3.a.2. X–Y stage positioning travel exceeding 37.5 x 37.5 mm; and b.3.a.3. Placement accuracy in the X–Y plane of finer than ± 10 micrometer; b.3.b. ‘‘Stored program controlled’’ equipment for producing multiple bonds in VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 a single operation (e.g., beam lead bonders, chip carrier bonders, tape bonders); b.3.c. Semi-automatic or automatic hot cap sealers, in which the cap is heated locally to a higher temperature than the body of the package, ‘‘specially designed’’ for ceramic microcircuit packages controlled by 3A001 and that have a throughput equal to or more than one package per minute. Note: 3B991.b.3 does not control general purpose resistance type spot welders. b.4. Filters for clean rooms capable of providing an air environment of 10 or less particles of 0.3 micrometer or smaller per 0.02832 m3 and filter materials therefor. * * * * * 3D001 ‘‘Software’’ ‘‘specially designed’’ for the ‘‘development’’ or ‘‘production’’ of commodities controlled by 3A001.b to 3A002.h, or 3B (except 3B991 and 3B992). License Requirements Reason for Control: NS, RS, AT Country chart (See Supp. No. 1 to part 738) Control(s) NS applies to ‘‘software’’ for commodities controlled by 3A001.b to 3A001.h, 3A002, and 3B. RS applies to ‘‘software’’ for commodities controlled by 3B090. AT applies to entire entry. NS Column 1 China (see § 742.6(a)(6)) AT Column 1 Reporting Requirements See § 743.1 of the EAR for reporting requirements for exports under License Exceptions, Special Comprehensive Licenses, and Validated End-User authorizations. List Based License Exceptions (See Part 740 for a description of all license exceptions) TSR: Yes, except for ‘‘software’’ ‘‘specially designed’’ for the ‘‘development’’ or ‘‘production’’ of Traveling Wave Tube Amplifiers described in 3A001.b.8 having operating frequencies exceeding 18 GHz. Special Conditions for STA STA: License Exception STA may not be used to ship or transmit ‘‘software’’ ‘‘specially designed’’ for the ‘‘development’’ or ‘‘production’’ of equipment specified by 3A002.g.1 or 3B001.a.2 to any of the destinations listed in Country Group A:6 (See Supplement No.1 to part 740 of the EAR). List of Items Controlled Related Controls: N/A Related Definitions: N/A Items: The list of items controlled is contained in the ECCN heading. * * * * * 3E001 ‘‘Technology’’ according to the General Technology Note for the ‘‘development’’ or ‘‘production’’ of PO 00000 Frm 00026 Fmt 4701 Sfmt 4700 commodities controlled by 3A (except 3A980, 3A981, 3A991, 3A992, or 3A999), 3B (except 3B991 or 3B992) or 3C (except 3C992). License Requirements Reason for Control: NS, MT, NP, RS, AT Control(s) NS applies to ‘‘technology’’ for commodities controlled by 3A001, 3A002, 3A003, 3B001, 3B002, or 3C001 to 3C006.. MT applies to ‘‘technology’’ for commodities controlled by. 3A001 or 3A101 for MT reasons. NP applies to ‘‘technology’’ for commodities controlled by. 3A001, 3A201, or 3A225 to 3A234 for NP reasons. RS applies to ‘‘technology’’ for commodities controlled by 3B090 or ‘‘software’’ specified by 3D001 (for 3B090 commodities).. AT applies to entire entry. Country chart (See Supp. No. 1 to part 738) NS Column 1 MT Column 1 NP Column 1 China (See § 742.6(a)(6)). AT Column 1 License Requirements Note: See § 744.17 of the EAR for additional license requirements for microprocessors having a processing speed of 5 GFLOPS or more and an arithmetic logic unit with an access width of 32 bit or more, including those incorporating ‘‘information security’’ functionality, and associated ‘‘software’’ and ‘‘technology’’ for the ‘‘production’’ or ‘‘development’’ of such microprocessors. Reporting Requirements See § 743.1 of the EAR for reporting requirements for exports under License Exceptions, Special Comprehensive Licenses, and Validated End-User authorizations. List Based License Exceptions (See Part 740 for a description of all license exceptions) TSR: Yes, except N/A for MT, and ‘‘technology’’ for the ‘‘development’’ or ‘‘production’’ of: (a) vacuum electronic device amplifiers described in 3A001.b.8, having operating frequencies exceeding 19 GHz; (b) solar cells, coverglassinterconnect-cells or covered-interconnectcells (CIC) ‘‘assemblies’’, solar arrays and/ or solar panels described in 3A001.e.4; (c) ‘‘Monolithic Microwave Integrated Circuit’’ (‘‘MMIC’’) amplifiers in 3A001.b.2; and (d) discrete microwave transistors in 3A001.b.3. Special Conditions for STA STA: License Exception STA may not be used to ship or transmit ‘‘technology’’ E:\FR\FM\13OCR2.SGM 13OCR2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations according to the General Technology Note for the ‘‘development’’ or ‘‘production’’ of equipment specified by ECCNs 3A002.g.1 or 3B001.a.2 to any of the destinations listed in Country Group A:6 (See Supplement No.1 to part 740 of the EAR). License Exception STA may not be used to ship or transmit ‘‘technology’’ according to the General Technology Note for the ‘‘development’’ or ‘‘production’’ of components specified by ECCN 3A001.b.2 or b.3 to any of the destinations listed in Country Group A:5 or A:6 (See Supplement No.1 to part 740 of the EAR). List of Items Controlled Related Controls: (1)‘‘Technology’’ according to the General Technology Note for the ‘‘development’’ or ‘‘production’’ of certain ‘‘space-qualified’’ atomic frequency standards described in Category XV(e)(9), MMICs described in Category XV(e)(14), and oscillators described in Category XV(e)(15) of the USML are ‘‘subject to the ITAR’’ (see 22 CFR parts 120 through 130). See also 3E101, 3E201 and 9E515. (2) ‘‘Technology’’ for ‘‘development’’ or ‘‘production’’ of ‘‘Microwave Monolithic Integrated Circuits’’ (‘‘MMIC’’) amplifiers in 3A001.b.2 is controlled in this ECCN 3E001; 5E001.d refers only to that additional ‘‘technology’’ ‘‘required’’ for telecommunications. Related Definition: N/A Items: The list of items controlled is contained in the ECCN heading. Note 1: 3E001 does not control ‘‘technology’’ for equipment or ‘‘components’’ controlled by 3A003. Note 2: 3E001 does not control ‘‘technology’’ for integrated circuits controlled by 3A001.a.3 to a.14, having all of the following: (a) Using ‘‘technology’’ at or above 0.130 mm; and (b) Incorporating multi-layer structures with three or fewer metal layers. Note 3: 3E001 does not apply to ‘Process Design Kits’ (‘PDKs’) unless they include libraries implementing functions or technologies for items specified by 3A001. Technical Note: A ‘Process Design Kit’ (‘PDK’) is a software tool provided by a semiconductor manufacturer to ensure that the required design practices and rules are taken into account in order to successfully produce a specific integrated circuit design in a specific semiconductor process, in accordance with technological and manufacturing constraints (each semiconductor manufacturing process has its particular ‘PDK’). 26. Effective on October 21, 2022, supplement no. 1 to part 774 is further amended by: ■ a. Under Category 3, Product Group A, revising Note 3; ■ b. Adding ECCN 3A090 after ECCN 3A003; ■ c. Revising ECCNs 3A991, 3D001, and 3E001; ■ d. Adding ECCN 4A090 after ECCN 4A005; khammond on DSKJM1Z7X2PROD with RULES2 ■ VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 e. Revising ECCN 4A994; f. Adding ECCN 4D090 after ECCN 4D004; and ■ g. Revising ECCNs 4D994, 4E001, 5A992, and 5D992. The additions and revisions read as follows: ■ ■ Supplement No. 1 to Part 774—The Commerce Control List * * * * * Category 3—Electronics A. ‘‘End Items,’’ ‘‘Equipment,’’ ‘‘Accessories,’’ ‘‘Attachments,’’ ‘‘Parts,’’ ‘‘Components,’’ and ‘‘Systems’’ * * * * * Note 3: The status of wafers (finished or unfinished), in which the function has been determined, is to be evaluated against the parameters of items in 3A. * * * * * 3A090 Integrated circuits as follows (see List of Items Controlled). License Requirements Reason for Control: RS, AT Control(s) RS applies to entire entry. AT applies to entire entry. Country chart (See Supp. No. 1 to part 738) China (See § 742.6(a)(6)) AT Column 1 List Based License Exceptions (See Part 740 for a description of all license exceptions) LVS: N/A GBS N/A List of Items Controlled Related Controls: See ECCNs 3D001 and 3E001 for associated technology and software controls. Related Definitions: N/A Items: a. Integrated circuits that have or are programmable to have an aggregate bidirectional transfer rate over all inputs and outputs of 600 Gbyte/s or more to or from integrated circuits other than volatile memories, and any of the following: a.1. One or more digital processor units executing machine instructions having a bit length per operation multiplied by processing performance measured in TOPS, aggregated over all processor units, of 4800 or more; a.2. One or more digital ‘primitive computational units,’ excluding those units contributing to the execution of machine instructions relevant to the calculation of TOPS for 3A090.a.1, having a bit length per operation multiplied by processing performance measured in TOPS, aggregated over all computational units, of 4800 or more; a.3. One or more analog, multi-value, or multi-level ‘primitive computational units’ having a processing performance measured in TOPS multiplied by 8, aggregated over all computational units, of 4800 or more; or PO 00000 Frm 00027 Fmt 4701 Sfmt 4700 62211 a.4. Any combination of digital processor units and ‘primitive computational units’ whose calculations according to 3A090.a.1, 3A090.a.2, and 3A090.a.3 sum to 4800 or more. Note: Integrated circuits specified by 3A090.a include graphical processing units (GPUs), tensor processing units (TPUs), neural processors, in-memory processors, vision processors, text processors, coprocessors/accelerators, adaptive processors, field-programmable logic devices (FPLDs), and application-specific integrated circuits (ASICs). Examples of integrated circuits are in the Note to 3A001.a. Technical Notes: 1. A ‘primitive computational unit’ is defined as containing zero or more modifiable weights, receiving one or more inputs, and producing one or more outputs. A computational unit is said to perform 2N– 1 operations whenever an output is updated based on N inputs, where each modifiable weight contained in the processing element counts as an input. Each input, weight, and output might be an analog signal level or a scalar digital value represented using one or more bits. Such units include: —Artificial neurons — Multiply accumulate (MAC) units —Floating-point units (FPUs) —Analog multiplier units —Processing units using memristors, spintronics, or magnonics —Processing units using photonics or nonlinear optics —Processing units using analog or multilevel nonvolatile weights —Processing units using multi-level memory or analog memory — Multi-value units —Spiking units 2. Operations relevant to the calculation of TOPS for 3A090.a include both scalar operations and the scalar constituents of composite operations such as vector operations, matrix operations, and tensor operations. Scalar operations include integer operations, floating-point operations (often measured by FLOPS), fixed-point operations, bit-manipulation operations, and/or bitwise operations. 3. TOPS is Tera Operations Per Second or 1012 Operations per Second. 4. The rate of TOPS is to be calculated at its maximum value theoretically possible when all processing elements are operating simultaneously. The rate of TOPS and aggregate bidirectional transfer rate is assumed to be the highest value the manufacturer claims in a manual or brochure for the integrated circuit. For example, the threshold of 4800 bits x TOPS can be met with 600 tera integer operations at 8 bits or 300 tera FLOPS at 16 bits. The bit length of an operation is equal to the highest bit length of any input or output of that operation. Additionally, if an item specified by this entry is designed for operations that achieve different bits x TOPS value, the highest bits x TOPS value should be used for the purposes of 3A090.a. 5. For integrated circuits specified by 3A090.a that provide processing of both sparse and dense matrices, the TOPS values are the values for processing of dense matrices (e.g., without sparsity). E:\FR\FM\13OCR2.SGM 13OCR2 62212 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations b. [Reserved] * * * * * 3A991 Electronic devices and ‘‘components,’’ not controlled by 3A001. License Requirements Reason for Control: AT Control(s) AT applies to entire entry. Country chart (See Supp. No. 1 to part 738) AT Column 1 License Requirements Note: See § 744.17 of the EAR for additional license requirements for microprocessors having a processing speed of 5 GFLOPS or more and an arithmetic logic unit with an access width of 32 bit or more, including those incorporating ‘‘information security’’ functionality, and associated ‘‘software’’ and ‘‘technology’’ for the ‘‘production’’ or ‘‘development’’ of such microprocessors. khammond on DSKJM1Z7X2PROD with RULES2 List Based License Exceptions (See Part 740 for a description of all license exceptions) LVS: N/A GBS: N/A List of Items Controlled Related Controls: For associated ‘‘software’’ for commodities in this ECCN, see 3D991 and for associated ‘‘technology for commodities in this ECCN, see 3E991. Related Definitions: N/A Items: a. ‘‘Microprocessor microcircuits’’, ‘‘microcomputer microcircuits’’, and microcontroller microcircuits having any of the following: a.1. A performance speed of 5 GFLOPS or more and an arithmetic logic unit with an access width of 32 bit or more; a.2. A clock frequency rate exceeding 25 MHz; or a.3. More than one data or instruction bus or serial communication port that provides a direct external interconnection between parallel ‘‘microprocessor microcircuits’’ with a transfer rate of 2.5 Mbyte/s; b. Storage integrated circuits, as follows: b.1. Electrical erasable programmable readonly memories (EEPROMs) with a storage capacity; b.1.a. Exceeding 16 Mbits per package for flash memory types; or b.1.b. Exceeding either of the following limits for all other EEPROM types: b.1.b.1. Exceeding 1 Mbit per package; or b.1.b.2. Exceeding 256 kbit per package and a maximum access time of less than 80 ns; b.2. Static random access memories (SRAMs) with a storage capacity: b.2.a. Exceeding 1 Mbit per package; or b.2.b. Exceeding 256 kbit per package and a maximum access time of less than 25 ns; c. Analog-to-digital converters having any of the following: c.1. A resolution of 8 bit or more, but less than 12 bit, with an output rate greater than 200 million words per second; c.2. A resolution of 12 bit with an output rate greater than 105 million words per second; VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 c.3. A resolution of more than 12 bit but equal to or less than 14 bit with an output rate greater than 10 million words per second; or c.4. A resolution of more than 14 bit with an output rate greater than 2.5 million words per second; d. Field programmable logic devices having a maximum number of single-ended digital input/outputs between 200 and 700; e. Fast Fourier Transform (FFT) processors having a rated execution time for a 1,024 point complex FFT of less than 1 ms; f. Custom integrated circuits for which either the function is unknown, or the control status of the equipment in which the integrated circuits will be used is unknown to the manufacturer, having any of the following: f.1. More than 144 terminals; or f.2. A typical ‘‘basic propagation delay time’’ of less than 0.4 ns; g. Traveling-wave ‘‘vacuum electronic devices,’’ pulsed or continuous wave, as follows: g.1. Coupled cavity devices, or derivatives thereof; g.2. Helix devices based on helix, folded waveguide, or serpentine waveguide circuits, or derivatives thereof, with any of the following: g.2.a. An ‘‘instantaneous bandwidth’’ of half an octave or more; and g.2.b. The product of the rated average output power (expressed in kW) and the maximum operating frequency (expressed in GHz) of more than 0.2; g.2.c. An ‘‘instantaneous bandwidth’’ of less than half an octave; and g.2.d. The product of the rated average output power (expressed in kW) and the maximum operating frequency (expressed in GHz) of more than 0.4; h. Flexible waveguides designed for use at frequencies exceeding 40 GHz; i. Surface acoustic wave and surface skimming (shallow bulk) acoustic wave devices (i.e., ‘‘signal processing’’ devices employing elastic waves in materials), having either of the following: i.1. A carrier frequency exceeding 1 GHz; or i.2. A carrier frequency of 1 GHz or less; and i.2.a. A frequency side-lobe rejection exceeding 55 Db; i.2.b. A product of the maximum delay time and bandwidth (time in microseconds and bandwidth in MHz) of more than 100; or i.2.c. A dispersive delay of more than 10 microseconds; j. Cells as follows: j.1. Primary cells having an energy density of 550 Wh/kg or less at 293 K (20ßC); j.2. Secondary cells having an energy density of 350 Wh/kg or less at 293 K (20ßC); Note: 3A991.j does not control batteries, including single cell batteries. Technical Notes: 1. For the purpose of 3A991.j energy density (Wh/kg) is calculated from the nominal voltage multiplied by the nominal capacity in ampere-hours divided by the mass in kilograms. If the nominal capacity is not stated, energy density is calculated from the nominal voltage squared then multiplied PO 00000 Frm 00028 Fmt 4701 Sfmt 4700 by the discharge duration in hours divided by the discharge load in Ohms and the mass in kilograms. 2. For the purpose of 3A991.j, a ‘cell’ is defined as an electrochemical device, which has positive and negative electrodes, and electrolyte, and is a source of electrical energy. It is the basic building block of a battery. 3. For the purpose of 3A991.j.1, a ‘primary cell’ is a ‘cell’ that is not designed to be charged by any other source. 4. For the purpose of 3A991.j.2, a ‘secondary cell’ is a ‘cell’ that is designed to be charged by an external electrical source. k. ‘‘Superconductive’’ electromagnets or solenoids ‘‘specially designed’’ to be fully charged or discharged in less than one minute, having all of the following: Note: 3A991.k does not control ‘‘superconductive’’ electromagnets or solenoids designed for Magnetic Resonance Imaging (MRI) medical equipment. k.1. Maximum energy delivered during the discharge divided by the duration of the discharge of more than 500 kJ per minute; k.2. Inner diameter of the current carrying windings of more than 250 mm; and k.3. Rated for a magnetic induction of more than 8T or ‘‘overall current density’’ in the winding of more than 300 A/mm 2; l. Circuits or systems for electromagnetic energy storage, containing ‘‘components’’ manufactured from ‘‘superconductive’’ materials ‘‘specially designed’’ for operation at temperatures below the ‘‘critical temperature’’ of at least one of their ‘‘superconductive’’ constituents, having all of the following: l.1. Resonant operating frequencies exceeding 1 MHz; l.2. A stored energy density of 1 MJ/M 3 or more; and l.3. A discharge time of less than 1 ms; m. Hydrogen/hydrogen-isotope thyratrons of ceramic-metal construction and rate for a peak current of 500 A or more; n. Digital integrated circuits based on any compound semiconductor having an equivalent gate count of more than 300 (2 input gates); o. Solar cells, cell-interconnect-coverglass (CIC) assemblies, solar panels, and solar arrays, which are ‘‘space qualified’’ and not controlled by 3A001.e.4. p. Integrated circuits, n.e.s., having any of the following: p.1. A processing performance of 8 TOPS or more; or p.2. An aggregate bidirectional transfer rate over all inputs and outputs of 150 Gbyte/s or more to or from integrated circuits other than volatile memories. Technical Notes: For the purposes of 3A991.p: 1. This ECCN includes but is not limited to central processing units (CPU), graphics processing units (GPU), tensor processing units (TPU), neural processors, in-memory processors, vision processors, text processors, co-processors/accelerators, adaptive processors, and field-programmable logic devices (FPLDs). 2. TOPS is Tera Operations Per Second or 1012 Operations per Second. 3. The rate of TOPS is to be calculated at its maximum value theoretically possible E:\FR\FM\13OCR2.SGM 13OCR2 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations when all processing elements are operating simultaneously. The rate of TOPS and aggregate bidirectional transfer rate is assumed to be the highest value the manufacturer claims in a manual or brochure for the integrated circuit. Operations include both scalar operations and the scalar constituents of composite operations such as vector operations, matrix operations, and tensor operations. Scalar operations include integer operations, floating-point operations (often measured by FLOPS), fixed-point operations, bitmanipulation operations, and/or bitwise operations. * * * * * 3D001 ‘‘Software’’‘‘specially designed’’ for the ‘‘development’’ or ‘‘production’’ of commodities controlled by 3A001.b to 3A002.h, 3A090, or 3B (except 3B991 and 3B992). License Requirements Reason for Control: NS, RS, AT Country chart (See Supp. No. 1 to part 738) Control(s) NS applies to ‘‘software’’ for commodities controlled by 3A001.b to 3A001.h, 3A002, and 3B. RS applies to ‘‘software’’ for commodities controlled by 3A090 or 3B090.. AT applies to entire entry. NS Column 1 China (see § 742.6(a)(6)) AT Column 1 Reporting Requirements See § 743.1 of the EAR for reporting requirements for exports under License Exceptions, Special Comprehensive Licenses, and Validated End-User authorizations. List Based License Exceptions (See Part 740 for a description of all license exceptions) TSR: Yes, except for ‘‘software’’ ‘‘specially designed’’ for the ‘‘development’’ or ‘‘production’’ of Traveling Wave Tube Amplifiers described in 3A001.b.8 having operating frequencies exceeding 18 GHz. khammond on DSKJM1Z7X2PROD with RULES2 Special Conditions for STA STA: License Exception STA may not be used to ship or transmit ‘‘software’’ ‘‘specially designed’’ for the ‘‘development’’ or ‘‘production’’ of equipment specified by 3A002.g.1 or 3B001.a.2 to any of the destinations listed in Country Group A:6 (See Supplement No.1 to part 740 of the EAR). List of Items Controlled Related Controls: N/A Related Definitions: N/A Items: The list of items controlled is contained in the ECCN heading. * * * * * 3E001 ‘‘Technology’’ according to the General Technology Note for the ‘‘development’’ or ‘‘production’’ of VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 commodities controlled by 3A (except 3A980, 3A981, 3A991, 3A992, or 3A999), 3B (except 3B991 or 3B992) or 3C (except 3C992). License Requirements Reason for Control: NS, MT, NP, RS, AT Country chart (See Supp. No. 1 to part 738) Control(s) NS applies to ‘‘technology’’ for commodities controlled by 3A001, 3A002, 3A003, 3B001, 3B002, or 3C001 to 3C006. MT applies to ‘‘technology’’ for commodities controlled by 3A001 or 3A101 for MT reasons. NP applies to ‘‘technology’’ for commodities controlled by 3A001, 3A201, or 3A225 to 3A234 for NP reasons. RS applies to ‘‘technology’’ for commodities controlled by 3A090 or 3B090 or ‘‘software’’ specified by 3D001 (for 3A090 or 3B090 commodities). RS applies to ‘‘technology’’ for commodities controlled in 3A090, when exported from China. AT applies to entire entry. NS Column 1. MT Column 1. NP Column 1. China (See § 742.6(a)(6)). Worldwide (See § 742.6(a)(6)) AT Column 1 License Requirements Note: See § 744.17 of the EAR for additional license requirements for microprocessors having a processing speed of 5 GFLOPS or more and an arithmetic logic unit with an access width of 32 bit or more, including those incorporating ‘‘information security’’ functionality, and associated ‘‘software’’ and ‘‘technology’’ for the ‘‘production’’ or ‘‘development’’ of such microprocessors. Reporting Requirements See § 743.1 of the EAR for reporting requirements for exports under License Exceptions, Special Comprehensive Licenses, and Validated End-User authorizations. List Based License Exceptions (See Part 740 for a description of all license exceptions) TSR: Yes, except N/A for MT, and ‘‘technology’’ for the ‘‘development’’ or ‘‘production’’ of: (a) vacuum electronic device amplifiers described in 3A001.b.8, having operating frequencies exceeding 19 GHz; (b) solar cells, coverglassinterconnect-cells or covered-interconnectcells (CIC) ‘‘assemblies’’, solar arrays and/ or solar panels described in 3A001.e.4; (c) ‘‘Monolithic Microwave Integrated Circuit’’ (‘‘MMIC’’) amplifiers in 3A001.b.2; and (d) PO 00000 Frm 00029 Fmt 4701 Sfmt 4700 62213 discrete microwave transistors in 3A001.b.3. Special Conditions for STA STA: License Exception STA may not be used to ship or transmit ‘‘technology’’ according to the General Technology Note for the ‘‘development’’ or ‘‘production’’ of equipment specified by ECCNs 3A002.g.1 or 3B001.a.2 to any of the destinations listed in Country Group A:6 (See Supplement No.1 to part 740 of the EAR). License Exception STA may not be used to ship or transmit ‘‘technology’’ according to the General Technology Note for the ‘‘development’’ or ‘‘production’’ of components specified by ECCN 3A001.b.2 or b.3 to any of the destinations listed in Country Group A:5 or A:6 (See Supplement No.1 to part 740 of the EAR). List of Items Controlled Related Controls: (1) ‘‘Technology’’ according to the General Technology Note for the ‘‘development’’ or ‘‘production’’ of certain ‘‘space-qualified’’ atomic frequency standards described in Category XV(e)(9), MMICs described in Category XV(e)(14), and oscillators described in Category XV(e)(15) of the USML are ‘‘subject to the ITAR’’ (see 22 CFR parts 120 through 130). See also 3E101, 3E201 and 9E515. (2) ‘‘Technology’’ for ‘‘development’’ or ‘‘production’’ of ‘‘Microwave Monolithic Integrated Circuits’’ (‘‘MMIC’’) amplifiers in 3A001.b.2 is controlled in this ECCN 3E001; 5E001.d refers only to that additional ‘‘technology’’ ‘‘required’’ for telecommunications. Related Definition: N/A Items: The list of items controlled is contained in the ECCN heading. Note 1: 3E001 does not control ‘‘technology’’ for equipment or ‘‘components’’ controlled by 3A003. Note 2: 3E001 does not control ‘‘technology’’ for integrated circuits controlled by 3A001.a.3 to a.14, having all of the following: (a) Using ‘‘technology’’ at or above 0.130 mm; and (b) Incorporating multi-layer structures with three or fewer metal layers. Note 3: 3E001 does not apply to ‘Process Design Kits’ (‘PDKs’) unless they include libraries implementing functions or technologies for items specified by 3A001. Technical Note: A ‘Process Design Kit’ (‘PDK’) is a software tool provided by a semiconductor manufacturer to ensure that the required design practices and rules are taken into account in order to successfully produce a specific integrated circuit design in a specific semiconductor process, in accordance with technological and manufacturing constraints (each semiconductor manufacturing process has its particular ‘PDK’). * * * * * 4A090 Computers as follows (see List of Items Controlled) and related equipment, ‘‘electronic assemblies,’’ and ‘‘components’’ therefor. License Requirements Reason for Control: RS, AT E:\FR\FM\13OCR2.SGM 13OCR2 62214 Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations Country chart (See Supp. No. 1 to part 738) Control(s) RS applies to entire entry. AT applies to entire entry. China (see § 742.6(a)(6)) AT Column 1 List Based License Exceptions (See Part 740 for a description of all license exceptions) LVS: N/A GBS: N/A List of Items Controlled Related Controls: For associated ‘‘software’’ for commodities in this ECCN, see 4D090 and for associated ‘‘technology’’ for commodities in this ECCN, see 4E001. Related Definitions: N/A Items: a. Computers, ‘‘electronic assemblies,’’ and ‘‘components’’ containing integrated circuits, any of which exceeds the limit in 3A090.a. Technical Note: Computers include ‘‘digital computers,’’ ‘‘hybrid computers,’’ and analog computers. b. Reserved * * * * * 4A994 Computers, ‘‘electronic assemblies’’ and related equipment, not controlled by 4A001 or 4A003, and ‘‘specially designed’’ ‘‘parts’’ and ‘‘components’’ therefor (see List of Items Controlled). License Requirements Reason for Control: AT Control(s) AT applies to entire entry. Country chart (See Supp. No. 1 to part 738) AT Column 1 khammond on DSKJM1Z7X2PROD with RULES2 List Based License Exceptions (See Part 740 for a description of all license exceptions) LVS: N/A GBS: N/A List of Items Controlled Related Controls: For associated ‘‘software’’ for commodities in this ECCN, see 4D994 and for associated ‘‘technology’’ for commodities in this ECCN, see 4E992. Related Definitions: N/A Items: Note 1: The control status of the ‘‘digital computers’’ and related equipment described in 4A994 is determined by the control status of other equipment or systems provided: a. The ‘‘digital computers’’ or related equipment are essential for the operation of the other equipment or systems; b. The ‘‘digital computers’’ or related equipment are not a ‘‘principal element’’ of the other equipment or systems; and N.B. 1: The control status of ‘‘signal processing’’ or ‘‘image enhancement’’ equipment ‘‘specially designed’’ for other equipment with functions limited to those required for the other equipment is determined by the control status of the other equipment even if it exceeds the ‘‘principal element’’ criterion. N.B. 2: For the control status of ‘‘digital computers’’ or related equipment for VerDate Sep<11>2014 18:05 Oct 12, 2022 Jkt 259001 telecommunications equipment, see Category 5, Part 1 (Telecommunications). c. The ‘‘technology’’ for the ‘‘digital computers’’ and related equipment is determined by 4E. a. Electronic computers and related equipment, and ‘‘electronic assemblies’’ and ‘‘specially designed’’ ‘‘parts’’ and ‘‘components’’ therefor, rated for operation at an ambient temperature above 343 K (70 °C); b. ‘‘Digital computers’’, including equipment of ‘‘signal processing’’ or image enhancement’’, having an ‘‘Adjusted Peak Performance’’ (‘‘APP’’) equal to or greater than 0.0128 Weighted TeraFLOPS (WT); c. ‘‘Electronic assemblies’’ that are ‘‘specially designed’’ or modified to enhance performance by aggregation of processors, as follows: c.1. Designed to be capable of aggregation in configurations of 16 or more processors; c.2. [Reserved]; Note 1: 4A994.c applies only to ‘‘electronic assemblies’’ and programmable interconnections with a ‘‘APP’’ not exceeding the limits in 4A994.b, when shipped as unintegrated ‘‘electronic assemblies’’. It does not apply to ‘‘electronic assemblies’’ inherently limited by nature of their design for use as related equipment controlled by 4A994.k. Note 2: 4A994.c does not control any ‘‘electronic assembly’’ ‘‘specially designed’’ for a product or family of products whose maximum configuration does not exceed the limits of 4A994.b. d. [Reserved]; e. [Reserved]; f. Equipment for ‘‘signal processing’’ or ‘‘image enhancement’’ having an ‘‘Adjusted Peak Performance’’ (‘‘APP’’) equal to or greater than 0.0128 Weighted TeraFLOPS WT; g. [Reserved]; h. [Reserved]; i. Equipment containing ‘‘terminal interface equipment’’ exceeding the limits in 5A991; j. Equipment ‘‘specially designed’’ to provide external interconnection of ‘‘digital computers’’ or associated equipment that allows communications at data rates exceeding 80 Mbyte/s. Note: 4A994.j does not control internal interconnection equipment (e.g., backplanes, buses) passive interconnection equipment, ‘‘network access controllers’’ or ‘‘communication channel controllers’’. k. ‘‘Hybrid computers’’ and ‘‘electronic assemblies’’ and ‘‘specially designed’’ ‘‘parts’’ and ‘‘components’’ therefor containing analog-to-digital converters having all of the following characteristics: k.1. 32 channels or more; and k.2. A resolution of 14 bit (plus sign bit) or more with a conversion rate of 200,000 conversions/s or more. l. Computers, ‘‘electronic assemblies,’’ and ‘‘components,’’ n.e.s., containing integrated circuits, any of which exceeds the limit of ECCN 3A991.p. Technical Note: For the purposes of 4A994.l, computers include ‘‘digital computers,’’ ‘‘hybrid computers,’’ and analog computers. * PO 00000 * * Frm 00030 * Fmt 4701 * Sfmt 4700 4D090 ‘‘Software’’ ‘‘specially designed’’ or modified for the ‘‘development’’ or ‘‘production,’’ of computers and related equipment, ‘‘electronic assemblies,’’ and ‘‘components’’ therefor specified in ECCN 4A090. License Requirements Reason for Control: RS, AT Country chart (See Supp. No. 1 to part 738) Control(s) RS applies to entire entry. AT applies to entire entry. China (See § 742.6(a)(6)). AT Column 1. List Based License Exceptions (See Part 740 for a description of all license exceptions) TSR: N/A List of Items Controlled Related Controls: For associated ‘‘technology’’ for software in this ECCN, see 4E001. Related Definitions: N/A Items: The list of items controlled is contained in the ECCN heading. * * * * * 4D994 ‘‘Software’’ other than that controlled in 4D001 ‘‘specially designed’’ or modified for the ‘‘development,’’ ‘‘production,’’ or ‘‘use’’ of commodities controlled by 4A101 or 4A994. License Requirements Reason for Control: AT Country chart (See Supp. No. 1 to part 738) Control(s) AT applies to entire entry. AT Column 1 List Based License Exceptions (See Part 740 for a description of all license Exceptions) TSR: N/A List of Items Controlled Related Controls: N/A Related Definitions: N/A Items: The list of items controlled is contained in the ECCN heading. * * * * * 4E001 ‘‘Technology’’ as follows (see List of Items Controlled). License Requirements Reason for Control: NS, MT, RS, CC, AT Control(s) NS applies to entire entry. E:\FR\FM\13OCR2.SGM 13OCR2 Country chart (See Supp. No. 1 to part 738) NS Column 1. Federal Register / Vol. 87, No. 197 / Thursday, October 13, 2022 / Rules and Regulations Control(s) MT applies to ‘‘technology’’ for items controlled by 4A001.a and 4A101 for MT reasons. RS applies to ‘‘technology’’ for commodities controlled by 4A090 or ‘‘software’’ specified by 4D090. CC applies to ‘‘software’’ for computerized finger-print equipment controlled by 4A003 for CC reasons. AT applies to entire entry. MT Column 1. China (See § 742.6(a)(6)). CC Column 1. AT Column 1. Reporting Requirements See § 743.1 of the EAR for reporting requirements for exports under License Exceptions, and Validated End-User authorizations. khammond on DSKJM1Z7X2PROD with RULES2 List Based License Exceptions (See Part 740 for a description of all license exceptions) TSR: Yes, except for the following: (1) ‘‘Technology’’ for the ‘‘development’’ or ‘‘production’’ of commodities with an ‘‘Adjusted Peak Performance’’ (‘‘APP’’) exceeding 29 WT or for the ‘‘development’’ or ‘‘production’’ of commodities controlled by 4A005 or ‘‘software’’ controlled by 4D004; or (2) ‘‘Technology’’ for the ‘‘development’’ of ‘‘intrusion software’’. APP: Yes to specific countries (see § 740.7 of the EAR for eligibility criteria). ACE: Yes for 4E001.a (for the ‘‘development’’, ‘‘production’’ or ‘‘use’’ of equipment or ‘‘software’’ specified in ECCN 4A005 or 4D004) and for 4E001.c, except to Country Group E:1 or E:2. See § 740.22 of the EAR for eligibility criteria. Special Conditions for STA STA: License Exception STA may not be used to ship or transmit ‘‘technology’’ according to the General Technology Note for the ‘‘development’’ or ‘‘production’’ of any of the following equipment or ‘‘software’’: a. Equipment specified by ECCN 4A001.a.2; b. ‘‘Digital computers’’ having an ‘Adjusted Peak Performance’ (‘APP’) exceeding 29 Weighted TeraFLOPS (WT); or c. ‘‘software’’ specified in the License Exception STA paragraph found in the License Exception section of ECCN 4D001 to any of the destinations listed in Country Group A:6 (See Supplement No. 1 to part 740 of the EAR); and may not be used to ship or transmit ‘‘software’’ specified in 4E001.a (for the VerDate Sep<11>2014 ‘‘development’’, ‘‘production’’ or ‘‘use’’ of equipment or ‘‘software’’ specified in ECCN 4A005 or 4D004) and 4E001.c to any of the destinations listed in Country Group A:5 or A:6. Country chart (See Supp. No. 1 to part 738) 18:05 Oct 12, 2022 Jkt 259001 List of Items Controlled Related Controls: N/A Related Definitions: N/A Items: a. ‘‘Technology’’ according to the General Technology Note, for the ‘‘development’’, ‘‘production’’, or ‘‘use’’ of equipment or ‘‘software’’ controlled by 4A (except 4A980 or 4A994) or 4D (except 4D980, 4D993, 4D994). b. ‘‘Technology’’ according to the General Technology Note, other than that controlled by 4E001.a, for the ‘‘development’’ or ‘‘production’’ of equipment as follows: b.1. ‘‘Digital computers’’ having an ‘‘Adjusted Peak Performance’’ (‘‘APP’’) exceeding 15 Weighted TeraFLOPS (WT); b.2. ‘‘Electronic assemblies’’ ‘‘specially designed’’ or modified for enhancing performance by aggregation of processors so that the ‘‘APP’’ of the aggregation exceeds the limit in 4E001.b.1. c. ‘‘Technology’’ for the ‘‘development’’ of ‘‘intrusion software.’’ Note 1: 4E001.a and 4E001.c do not apply to ‘‘vulnerability disclosure’’ or ‘‘cyber incident response’’. Note 2: Note 1 does not diminish national authorities’ rights to ascertain compliance with 4E001.a and 4E001.c. * * * * * 5A992 Equipment not controlled by 5A002 (see List of Items Controlled) License Requirements Reason for Control: RS, AT Control(s) RS applies to items controlled by 5A992.c that meet or exceed the performance parameters of ECCN 3A090 or 4A090. AT applies to entire entry. Country chart (See Supp. No. 1 to part 738) RS (see § 742.6(a)(6)) AT Column 1 License Requirements Note: See § 744.17 of the EAR for additional license requirements for microprocessors having a processing speed of 5 GFLOPS or more and an arithmetic logic unit with an access width of 32 bit or more, including those incorporating ‘‘information security’’ functionality, and associated ‘‘software’’ and ‘‘technology’’ for the ‘‘production’’ or ‘‘development’’ of such microprocessors. List Based License Exceptions (See Part 740 for a description of all license exceptions) LVS: N/A PO 00000 Frm 00031 Fmt 4701 Sfmt 9990 62215 GBS: N/A List of Items Controlled Related Controls: N/A Related Definitions: N/A Items: a. [Reserved] b. [Reserved] c. Commodities classified as mass market encryption commodities in accordance with § 740.17(b) of the EAR. * * * * * 5D992 ‘‘Information Security’’ ‘‘software,’’ not controlled by 5D002, as follows (see List of Items Controlled). License Requirements Reason for Control: RS, AT Country chart (See Supp. No. 1 to part 738) Control(s) RS applies to items controlled by 5D992.c that meet or exceed the performance parameters of ECCN 3A090 or 4A090. AT applies to entire entry. RS (see § 742.6(a)(6)). AT Column 1. License Requirements Note: See § 744.17 of the EAR for additional license requirements for microprocessors having a processing speed of 5 GFLOPS or more and an arithmetic logic unit with an access width of 32 bit or more, including those incorporating ‘‘information security’’ functionality, and associated ‘‘software’’ and ‘‘technology’’ for the ‘‘production’’ or ‘‘development’’ of such microprocessors. List Based License Exceptions (See Part 740 for a description of all license exceptions) TSR: N/A List of Items Controlled Related Controls: This entry does not control ‘‘software’’ designed or modified to protect against malicious computer damage, e.g., viruses, where the use of ‘‘cryptography’’ is limited to authentication, digital signature and/or the decryption of data or files. Related Definitions: N/A Items: a. [Reserved] b. [Reserved] c. ‘‘Software’’ classified as mass market encryption software in accordance with § 740.17(b) of the EAR. * * * * * Thea D. Rozman Kendler, Assistant Secretary for Export Administration. [FR Doc. 2022–21658 Filed 10–7–22; 11:15 am] BILLING CODE 3510–33–P E:\FR\FM\13OCR2.SGM 13OCR2

Agencies

[Federal Register Volume 87, Number 197 (Thursday, October 13, 2022)]
[Rules and Regulations]
[Pages 62186-62215]
From the Federal Register Online via the Government Publishing Office [www.gpo.gov]
[FR Doc No: 2022-21658]



[[Page 62185]]

Vol. 87

Thursday,

No. 197

October 13, 2022

Part II





Department of Commerce





-----------------------------------------------------------------------





Bureau of Industry and Security





-----------------------------------------------------------------------





15 CFR Parts 734, 736, 740, 742, et al.





Implementation of Additional Export Controls: Certain Advanced 
Computing and Semiconductor Manufacturing Items; Supercomputer and 
Semiconductor End Use; Entity List Modification; Interim Final Rule

Federal Register / Vol. 87 , No. 197 / Thursday, October 13, 2022 / 
Rules and Regulations

[[Page 62186]]



DEPARTMENT OF COMMERCE

Bureau of Industry and Security

15 CFR Parts 734, 736, 740, 742, 744, 762, 772, and 774

[Docket No. 220930-0204]
RIN 0694-AI94


Implementation of Additional Export Controls: Certain Advanced 
Computing and Semiconductor Manufacturing Items; Supercomputer and 
Semiconductor End Use; Entity List Modification

AGENCY: Bureau of Industry and Security, Department of Commerce.

ACTION: Interim final rule; request for comments.

-----------------------------------------------------------------------

SUMMARY: In this rule, the Bureau of Industry and Security (BIS) is 
amending the Export Administration Regulations (EAR) to implement 
necessary controls on advanced computing integrated circuits (ICs), 
computer commodities that contain such ICs, and certain semiconductor 
manufacturing items. In addition, BIS is expanding controls on 
transactions involving items for supercomputer and semiconductor 
manufacturing end uses, for example, this rule expands the scope of 
foreign-produced items subject to license requirements for twenty-eight 
existing entities on the Entity List that are located in China. BIS is 
also informing the public that specific activities of ``U.S. persons'' 
that `support' the ``development'' or ``production'' of certain ICs in 
the PRC require a license. Lastly, to minimize short term impact on the 
semiconductor supply chain from this rule, BIS is establishing a 
Temporary General License to permit specific, limited manufacturing 
activities in China related to items destined for use outside China and 
is identifying a model certificate that may be used in compliance 
programs to assist, along with other measures, in conducting due 
diligence. `

DATES: 
    a. Effective on October 7, 2022, are the following instructions: 7 
(Sec.  740.2), 9 (Sec.  740.10), 11 (Sec.  742.6), 17 (Sec.  744.23), 
and 25 (supplement no. 1 to part 774).
    b. Effective on October 12, 2022, is the following instruction: 15 
(Sec.  744.6).
    c. Effective on October 21, 2022, are the following instructions: 2 
(Sec.  734.9), 3 (supplement no. 1 to part 734), 5 (supplement no. 1 to 
part 736), 8 (Sec.  740.2), 12 (Sec.  742.6), 14 (Sec.  744.1), 16 
(Sec.  744.11), 18 (Sec.  744.23), 19 (supplement no. 4 to part 744), 
21 (Sec.  762.2), 23 (Sec.  772.1), and 26 (supplement no. 1 to part 
774).
    d. Comments must be received by BIS no later than December 12, 
2022.

ADDRESSES: Comments on this rule may be submitted to the Federal 
rulemaking portal (www.regulations.gov). The regulations.gov ID for 
this rule is: BIS-2022-0025. Please refer to RIN 0694-AI94 in all 
comments.
    All filers using the portal should use the name of the person or 
entity submitting the comments as the name of their files, in 
accordance with the instructions below. Anyone submitting business 
confidential information should clearly identify the business 
confidential portion at the time of submission, file a statement 
justifying nondisclosure and referring to the specific legal authority 
claimed, and provide a non-confidential version of the submission.
    For comments submitted electronically containing business 
confidential information, the file name of the business confidential 
version should begin with the characters ``BC.'' Any page containing 
business confidential information must be clearly marked ``BUSINESS 
CONFIDENTIAL'' on the top of that page. The corresponding non-
confidential version of those comments must be clearly marked 
``PUBLIC.'' The file name of the non-confidential version should begin 
with the character ``P.'' Any submissions with file names that do not 
begin with either a ``BC'' or a ``P'' will be assumed to be public and 
will be made publicly available through https://www.regulations.gov.

FOR FURTHER INFORMATION CONTACT: For questions on the license 
requirements in this interim final rule, contact Eileen Albanese, 
Director, Office of National Security and Technology Transfer Controls, 
Bureau of Industry and Security, Department of Commerce, Phone: (202) 
482-0092, Fax: (202) 482-482-3355, Email: [email protected]. For emails, 
include ``Advanced computing controls'' or ``Semiconductor 
manufacturing items control'' as applicable in the subject line.
    For questions on the Entity List revisions, contact: Chair, End-
User Review Committee, Office of the Assistant Secretary for Export 
Administration, Bureau of Industry and Security, Department of 
Commerce, Phone: (202) 482-5991, Email: [email protected].

SUPPLEMENTARY INFORMATION: 

I. Background

    With this interim final rule, the Commerce Department's Bureau of 
Industry and Security (BIS) makes critical changes to the Export 
Administration Regulations (EAR) in two areas to address U.S. national 
security and foreign policy concerns. First, BIS imposes additional 
export controls on certain advanced computing semiconductor chips 
(chips, advanced computing chips, integrated circuits, or ICs), 
transactions for supercomputer end-uses, and transactions involving 
certain entities on the Entity List. Second, BIS adopts additional 
controls on certain semiconductor manufacturing items and on 
transactions for certain IC end use. Additional information about both 
areas of change is provided in the Overview of New Controls section. 
Some changes made in this interim final rule to address these two areas 
involve the same EAR provisions; in those cases, the preamble provides 
cross references to other areas in the rule that provide relevant 
additional information. This rule also solicits public comments on the 
changes included in this rule.
    The restrictions implemented in this rule follow extensive United 
States government consideration of the impact of advanced computing 
ICs, ``supercomputers,'' and semiconductor manufacturing equipment on 
enabling military modernization, including the development of weapons 
of mass destruction (WMD), and human rights abuses. The Government of 
the People's Republic of China (PRC or China) has mobilized vast 
resources to support its defense modernization, including the 
implementation of its military-civil fusion development strategy, in 
ways that are contrary to U.S. national security and foreign policy 
interests.

A. Additional Export Controls: Certain Advanced Computing Integrated 
Circuits (ICs); Supercomputer End-Uses; Entity List Modifications

    With this rule, BIS imposes new export controls on certain advanced 
computing semiconductor chips and computer commodities that contain 
such chips. Further, this rule implements an end-use control for 
certain items intended for a ``supercomputer'' located in or destined 
to the PRC.
    Advanced computing items and ``supercomputers'' can be used to 
enhance data processing and analysis capabilities, including through 
artificial intelligence (AI) applications. The PRC is rapidly 
developing exascale supercomputing capabilities and has announced its 
intent to become the world leader in AI by 2030. These advanced systems 
are capable of sophisticated data processing and analysis that has 
multiple uses, and are enabled by advanced ICs. These systems

[[Page 62187]]

are being used by the PRC for its military modernization efforts to 
improve the speed and accuracy of its military decision making, 
planning, and logistics, as well as of its autonomous military systems, 
such as those used for cognitive electronic warfare, radar, signals 
intelligence, and jamming. Furthermore, these advanced computing items 
and ``supercomputers'' are being used by the PRC to improve 
calculations in weapons design and testing including for WMD, such as 
nuclear weapons, hypersonics and other advanced missile systems, and to 
analyze battlefield effects. In addition, advanced AI surveillance 
tools, enabled by efficient processing of huge amounts of data, are 
being used by the PRC without regard for basic human rights to monitor, 
track, and surveil citizens, among other purposes. With this rule, BIS 
seeks to protect U.S. national security and foreign policy interests by 
restricting the PRC's access to advanced computing for its military 
modernization, including nuclear weapons development, facilitation of 
advanced intelligence collection and analysis, and for surveillance. 
BIS intends to impose controls on items subject to the EAR and U.S. 
person activities to limit the PRC's ability to obtain advanced 
computing chips or further develop AI and ``supercomputer'' 
capabilities for uses that are contrary to U.S. national security and 
foreign policy interests.
    These controls are being imposed through this interim final rule to 
address immediate concerns with the PRC's demonstrated intent and 
ability to use these items for activities of national security and 
foreign policy concern to the United States. As such, the advanced 
computing ICs and computer commodities that contain such ICs identified 
by this rule have been controlled for Regional Stability (RS) purposes. 
This rule also expands the scope of licensing requirements for 28 
existing entities on the Entity List in supplement no. 4 to part 744 of 
the EAR that are located in China and were added to the Entity List 
between 2015 and 2021 to further address the national security and 
foreign policy concerns described above. BIS is interested in receiving 
comments regarding whether a broader or different scope of control is 
warranted for these ICs.

B. Additional Export Controls: Certain Semiconductor Manufacturing 
Items; Integrated Circuits End Use

    Also with this rule, BIS imposes new export controls on certain 
semiconductor manufacturing items and activities involving the 
``development'' or ``production'' of advanced integrated circuits 
(packaged or unpackaged) in the PRC that meet specified criteria.
    Semiconductor manufacturing equipment can be used to produce ICs 
(packaged or unpackaged) for commercial applications, which has helped 
to transform the world and holds great commercial promise across a wide 
variety of industries and applications, including communications, 
health care, and transportation. However, semiconductor manufacturing 
equipment can also be used to produce various ICs (packaged or 
unpackaged) for WMD or other military applications, as well as 
applications that enable human rights violations or abuses, including 
but not limited to the advanced systems and ``supercomputers'' 
described above. Similar to their use in commercial products, the use 
of semiconductors has become vital in the ``production'' of military 
systems, particularly for advanced military systems, and may be used 
for purposes that are contrary to U.S. national security and foreign 
policy interests. The PRC government expends extensive resources to 
eliminate barriers between China's civilian research and commercial 
sectors, and its military and defense industrial sectors. It also is 
developing and producing advanced integrated circuits (packaged or 
unpackaged) for use in weapons systems.
    Under the Export Control Reform Act of 2018 (ECRA), the United 
States shall control U.S. person activity related to nuclear explosive 
devices, missiles chemical or biological weapons, whole plants for 
chemical weapons precursors, foreign maritime nuclear projects, and 
foreign military intelligence services; BIS has already imposed some of 
these controls in Sec.  744.6 of the EAR. But these controls generally 
only apply when the ``U.S. person'' has knowledge that their activities 
are contributing to prohibited end uses or end users. China's military-
civil fusion effort makes it more difficult to tell which items are 
made for restricted end uses, thereby diminishing the effect of these 
existing controls. Accordingly, with this rule the United States is 
taking additional steps to inform the public that `support' by ``U.S. 
persons'' related to the provision of items used to produce the most 
advanced semiconductors necessary for military programs of concern, 
such as missile programs or programs related to nuclear explosive 
devices, requires a license, even when the precise end use of such 
items cannot be determined by the ``U.S. person.''
    BIS has already identified on the Entity List 28 entities in the 
PRC that are of concern for the national security and foreign policy 
reasons identified in this rule. For example, four of these entities 
were determined to be involved with supercomputers in the PRC that are 
believed to be used in nuclear explosive activities. See 80 FR 8527, 
Feb. 18, 2015. Five of the other entities were added to the Entity List 
due to their involvement in exascale high performance computing and 
ties to military end uses and end users. See 84 FR 29373, June 24, 
2019. Finally, seven of the remaining entities were added to the Entity 
List due to their involvement in activities that support China's 
military actors, its destabilizing military modernization efforts, and/
or its WMD programs. See 86 FR 18438, April 9, 2021.
    In addition, BIS notes that according to the April 9, 2021, Annual 
Threat Assessment of the U.S. Intelligence Community, China ``will 
continue the most rapid expansion and platform diversification of its 
nuclear arsenal in its history, intending to at least double the size 
of its nuclear stockpile during the next decade and to field a nuclear 
triad'' and ``is building a larger and increasingly capable nuclear 
missile force that is more survivable, more diverse, and on higher 
alert than in the past, including nuclear missile systems designed to 
manage regional escalation and ensure an intercontinental second-strike 
capability.'' The types of semiconductor manufacturing items controlled 
in this rule under new item-based and end-use-based controls produce 
advanced integrated circuits that can be used in the ``development,'' 
``production,'' or ``use'' of such military items with WMD application. 
In particular, the ability to produce indigenously within China these 
types of advanced ICs (packaged or unpackaged) would be contrary to 
U.S. national security and foreign policy interests.
    As more fully discussed in Section IV.C below, this rule will more 
comprehensively control ``U.S. persons'' `support' for the 
``development'' or ``production'' of ICs (packaged or unpackaged) that 
could contribute to WMD applications. Advanced logic, certain NOT AND 
(NAND), and dynamic random-access memory (DRAM) chips have more 
significant military, intelligence, and security applications, 
including missile, nuclear, and conventional weapons applications. 
Advanced ICs (packaged or unpackaged) with smaller physical dimensions 
(e.g., produced at more advanced technology nodes) are of national 
security concern because of the faster and more efficient 
microelectronic operation, greater data storage capability, and greater

[[Page 62188]]

computational efficiencies that these ICs (packaged or unpackaged) 
possess.
    For example, a BIS rule from August 15, 2022 (87 FR 49981), stated 
that reasons why Gate-All-Around transistor technology are the key to 
next generation integrated circuits. This architecture allows for 
higher current capability and lower parasitic capacitances that enable 
50 percent faster chip operation compared to bulk technologies. It is 
also inherently radiation hardened. Chips with these characteristics 
would advance many commercial as well as military applications, 
including defense and communication satellites. Because faster and more 
efficient chip operation enables superior processing and aggregation 
critical for WMD applications (e.g., data volumes and computational 
loads necessary to model nuclear explosions, and missile simulations), 
it is necessary and consistent with the Export Control Reform Act of 
2018 (ECRA) to impose this ``U.S. persons'' activity control under the 
EAR for `support,' including the provision of services and foreign-
produced items not subject to the EAR, but capable of producing such 
integrated circuits (e.g., advanced logic, NAND, and DRAM integrated 
circuits).
    With this rule, BIS intends to limit the PRC's ability to obtain 
semiconductor manufacturing capabilities to produce ICs (packaged or 
unpackaged) for uses that are contrary to U.S. national security and 
foreign policy interests.

II. Item-Based Controls on Semiconductor Manufacturing Equipment

    As of the effective date of this rule on October 7, 2022, the 
specified semiconductor manufacturing equipment is controlled for RS 
reasons under the EAR, in order to immediately address concerns with 
the PRC's demonstrated intent and ability to use the specified items 
for activities of U.S. national security and foreign policy concern. 
Due to the urgent need for this rule to counter China's actions, it 
will not be published as a Section 1758 technology rule, which would 
include a notice and comment period (50 U.S.C. 4817(a)(2)(C)). However, 
BIS is interested in hearing from the public about the items in this 
rule and the scope of the new control.

III. Overview of New Controls for Certain Advanced Computing Integrated 
Circuits (ICs); Supercomputer End-Uses; Entity List Modifications

    This rule addresses U.S. national security and foreign policy 
concerns by: (1) adding to the Commerce Control List (CCL) (supplement 
no. 1 to part 774 of the EAR) certain advanced computing chips and the 
computers, ``electronic assemblies,'' and ``components'' that contain 
them; (2) establishing a new end-use control for certain CCL items 
destined for ``supercomputers''; and (3) creating two new Foreign 
Direct Product (FDP) rules related to advanced computing and 
``supercomputers'' and expanding an existing FDP rule for certain 
entities listed on the Entity List.

A. Addition of Advanced Computing Chips, Computer Commodities That 
Contain Them, and Associated ``Software'' and ``Technology'' to the 
Commerce Control List (Supplement no. 1 to Part 774 of the EAR)

    In the CCL, this rule adds new Export Control Classification 
Numbers (ECCNs) 3A090 for specified high-performance ICs and 4A090 
(computers, ``electronic assemblies,'' and ``components,'' not 
elsewhere specified (n.e.s.), containing ICs in ECCN 3A090). Both new 
ECCNs are controlled for RS reasons for exports or reexports to the 
PRC, through the addition of a new RS control in Sec.  742.6(a)(6) of 
the EAR. The two ECCNs are also controlled for anti-terrorism (AT) 
reasons when destined to a country that has an AT:1 license requirement 
(Iran Sec.  742.8, Syria Sec.  742.9, or N. Korea Sec.  742.19); see 
also parts 744 and 746 of the EAR for additional controls on items 
controlled for AT reasons. Associated ``software'' and ``technology'' 
controls on the CCL for the items controlled in ECCNs 3A090 and 4A090 
are found in ECCNs 3D001, 3E001, 4D090, and 4E001, respectively, this 
rule controls the ``software'' and ``technology'' for RS reasons when 
destined to the PRC, in addition to the other reasons described in 
those ECCN entries.
    This rule revises Category 3, Product Group A, Note 3 because 
controls for wafers (finished or unfinished) are now in multiple ECCNs 
in Category 3.
    As discussed above, to align the new RS license requirements for 
ECCNs 3A090 and 4A090 in the associated ``technology'' and ``software'' 
ECCNs, the new RS license requirement has been added to the License 
Requirement tables within ECCNs 3D001, 3E001, and 4E001 for these 
items. Additionally, BIS is adding RS license requirements to the 
License Requirement tables within ECCNs 5A992 and 5D992 to address 
circumstances when these ECCNs meet or exceed the performance 
parameters of ECCN 3A090 or 4A090.
    New ECCN 4D090 is also created to accommodate the software 
associated with the items controlled in ECCN 4A090, as such controls 
could not be readily added to ECCN 4D001.

B. License Requirements for New Advanced Computing Items

    This rule establishes a new unilateral RS control and brings the 
newly identified advanced computing integrated circuits and related 
computers under the control. If a relevant multilateral export control 
regime adopts controls for the identified technology, BIS will adopt 
multilateral controls in place of the unilateral control. This rule 
also adds a new basis for RS controls to Sec.  742.6 of the EAR. This 
newly added RS control imposes a license requirement for exports, 
reexports, and transfers (in-country) of identified items to or within 
the PRC. The license requirements under this new RS control for 
advanced computing chips and computer commodities that contain them 
found in new Sec.  742.6(a)(6). The license requirements in Sec.  
742.6(a)(6) do not apply to deemed exports or reexports.
    In addition, this RS control imposes a license requirement for the 
export from the PRC to any destination worldwide of technology for the 
design, development, or production of advanced computing chips (i.e., 
3E001 for 3A090), which has been developed by an entity headquartered 
in the PRC, is the ``direct product'' of certain software subject to 
the EAR, and is for the ``production'' of certain advanced computing 
integrated circuits and computers or assemblies containing them, 
consistent with Sec.  734.9(h)(1)(i)(B)(1) and (h)(2)(ii). BIS is 
implementing this license requirement given the historical precedent of 
chips designed by PRC entities being diverted for use in the PRC to 
support PRC military modernization, and the inherent risk of this 
occurring with these advanced computing chips. Parties to such 
transactions should consider obtaining proof of the ultimate end use, 
such as the Model Certificate described in supplement no. 1 to part 
734. Entities outside of the PRC that receive 3E001 for 3A090 
technology from China should consider confirming that a license was 
obtained to export such technology from China. If no such license was 
obtained, General Prohibition 10 (Sec.  736.2(b)(10) of the EAR) 
prohibits any person from taking any further action with respect to 
such technology that has been exported without a required BIS license.
    The license review policy for this new RS control is added to a new 
Sec.  742.6(b)(10) of the EAR. Most license applications for items 
controlled under this RS control will be reviewed under a presumption 
of denial based on the

[[Page 62189]]

risk of these items being used contrary to the national security or 
foreign policy interests of the United States, including the foreign 
policy interest of promoting the observance of human rights throughout 
the world. The exception to the presumption of denial is for license 
applications for semiconductor manufacturing items destined to end 
users located in China that are headquartered in the United States or 
in a country in Country Group A:5 or A:6; license applications 
involving such end users will be considered on a case-by-case basis, 
taking into account factors including technology level, customers and 
compliance plans.

C. Anti-Terrorism Controls for Lower-Level Computing ICs and Computer 
Commodities That Contain Them

    In the CCL, this rule also revises ECCN 3A991 by adding a new 
paragraph 3A991.p (specified high-performance ICs) and revises ECCN 
4A994 by adding new paragraph 4A994.l (computers, ``electronic 
assemblies,'' and ``components,'' not elsewhere specified (n.e.s.), 
containing ICs in 3A991.p). These ECCNs, including these new 
paragraphs, are controlled for anti-terrorism (AT Column 1) reasons. 
Associated ``software'' and ``technology'' controls for ECCNs 3A991.p 
and 4A994.l are found in ECCNs 3D991, 3E991, 4D994, and 4E992, 
respectively. The Related Control Notes of ECCNs 3A991 and 4A994 are 
amended to alert the reader about associated technology and software 
ECCNs. As noted above, license requirements for AT Column 1 items are 
identified in parts 742, 744, and 746 of the EAR.
    Deemed exports and reexports of technology and software that 
previously did not require a license, but now require a license because 
of the controls implemented by this rule, will only require licenses if 
the technology or software release exceeds the scope of the technology 
or software that the foreign national already had lawful access to 
prior to the controls implemented in this rule, e.g., a foreign 
national who lawfully accessed technology or software specified in new 
ECCN paragraphs 3A991.p or 4A994.l items prior to the effective date 
would not need a new license to continue receiving the same technology 
or software for ECCN paragraphs 3A991.p or 4A994.l items, but would 
require a license for the release of controlled technology or software 
different from that previously release, even if the technology or 
software is classified under the same ECCNs.
    This rule makes an editorial revision to the heading of ECCNs 3D001 
and 4D994 by replacing the word ``equipment'' with ``commodities.'' 
This is to ensure that these ECCNs control software for not only 
equipment, but also parts, components, and assemblies.

D. License Exception Eligibility for New Advanced Computing Items

    The only license exceptions available for exports or reexports of 
items controlled under the new ECCNs (3A090, 4A090, and the associated 
software and technology in 3D001, 3E001, 4D090, and 4E001) are listed 
in new Sec.  740.2(a)(9) of the EAR. Similar to existing paragraph 
(a)(8), this new paragraph contains a list of appropriate license 
exceptions for the license requirements implemented in this rule. This 
restriction on the availability of license exceptions also applies to 
any integrated circuit, computer, or assembly meeting the performance 
parameters of new ECCNs 3A090 and 4A090 but classified elsewhere on the 
CCL (e.g., under ECCN 5A002 due to encryption functionality). The only 
license exceptions available for the foregoing items are: Servicing and 
replacement of parts and equipment (RPL) under Sec.  740.10; 
Governments, international organizations, International Inspections 
Under the Chemical Weapons Convention, and the International Space 
Station (GOV), restricted to eligibility under the provisions of Sec.  
740.11(b)(2)(ii) (exports, reexports, and transfers (in-country) made 
by or consigned to a department or agency of the United States 
Government); and Technology and Software Unrestricted (TSU), under the 
provisions of Sec.  740.13(a) and (c). License Exceptions RPL and TSU 
require that the equipment or software must have been shipped to their 
current location in accordance with U.S. law and continue to be legally 
used, therefore these license exceptions will authorize support, i.e., 
repairs and software updates, for items that were lawfully exported. 
These license exceptions will not overcome the new license requirement 
imposed in this interim final rule under new Sec.  744.23 
``Supercomputer'' and semiconductor manufacturing end use''),'' 
implemented in this interim final rule, because no license exceptions 
are available to overcome the license requirement in that provision of 
the EAR. As discussed further below, new Sec.  744.23 applies 
restrictions on the use of license exceptions to or within China.
    BIS estimates these new license requirements will result in an 
additional 1,600 license applications being submitted to BIS annually.

E. Revising the Entity List Foreign Direct Product Rule Under Sec.  
734.9(e) and Establishing Two New Foreign Direct Product Rules for 
Advanced Computing and ``Supercomputers'' Under Sec.  734.9(h) and (i)

    In Sec.  734.9 (Foreign-Direct Product (FDP) Rules), this rule 
revises Sec.  734.9(e) (Entity List FDP rule) to add a new product 
scope and end-user scope for entities on the Entity List identified 
with a new footnote 4 and adds new paragraphs (h) (Advanced computing 
FDP rule) and (i) (``Supercomputer'' end-use FDP rule) to the EAR. As 
with the other FDP rules, these new FDP rules define when certain 
foreign made items are subject to the EAR. License requirements 
associated with these foreign direct products are found in Sec.  
742.6(a)(6) of the EAR, as well as in new Sec.  744.23, described 
below. The license requirement for the Entity List entities designated 
with footnote 4, is found in a new Sec.  744.11(a)(2)(ii) of the EAR 
and in such entities' entries in supplement no. 4 to part 744, as 
described below.
1. Revised Entity List FDP Rule
    The revised Entity List FDP rule, set forth in Sec.  734.9(e), now 
identifies two footnotes on the Entity List that indicate application 
of an Entity List FDP rule. The revision made in this interim final 
rule does not alter the scope or requirements of the existing Entity 
List FDP rule that applies to entities designated with footnote 1 on 
the Entity List, but this revision required BIS to renumber the 
paragraphs of the existing Entity List FDP rule. This rule also revises 
the heading of paragraph (e)(1)(i)(B) to reflect alignment with the 
unchanged scope of the paragraph, as the plant or `major component' of 
the plant that must be a ``direct product'' of U.S.-origin 
``technology'' or ``software.'' This new Entity List FDP rule states 
that any foreign-produced item is subject to the EAR if: (1) it meets 
the product scope in Sec.  734.9(e)(2)(i)--either paragraph 
(e)(2)(i)(A) or (B); and (2) there is ``knowledge'' that an entity 
designated with footnote 4 on the Entity List is either involved in any 
of the activities in paragraph (e)(2)(ii)(A) or is a party to the 
transaction as described in paragraph (e)(2)(ii)(B).
2. Advanced Computing FDP Rule
    The new ``Advanced computing FDP rule'' under paragraph (h) 
indicates that any foreign-produced item is subject to the EAR if it 
meets the product scope in Sec.  734.9(h)(1)--either paragraph 
(h)(1)(i)

[[Page 62190]]

or (ii)--and destination scope in paragraph (h)(2). Paragraph (h)(1)(i) 
(``Direct product'' of ``technology'' or ``software'') specifies that a 
foreign-produced item meets the product scope of this new advanced 
computing FDP rule if it meets the conditions identified in (both) 
paragraphs (h)(1)(i)(A) (i.e., the foreign-produced item is the 
``direct product'' of certain specified ``software'' or ``technology'' 
subject to the EAR) and (B) (the foreign-produced item is specified in 
new ECCN 3A090 or 4A090 or is an integrated circuit, computer, 
``electronic assembly,'' or ``component'' specified elsewhere on the 
CCL which meets or exceeds the limit in the performance parameters of 
ECCN 3A090 or 4A090, or is an item used in the ``development,'' 
``production,'' ``use,'' operation, installation (including on-site 
installation), maintenance (checking), repair, overhaul, or 
refurbishing of any item in the PRC used in the ``development'' or 
``production,'' of certain integrated circuits).
    The product scope in Sec.  734.9(h) also includes foreign-produced 
items specified in ECCN 3A090 or 4A090 or other specified items that 
are products of a complete plant or `major component' of a plant, 
whether made in the United States or a foreign country, that itself is 
a ``direct product'' of certain specified U.S.-origin ``technology'' or 
``software.''
    Paragraph (h)(2) (Destination scope) specifies that a foreign-
produced item meets the destination scope of this paragraph if there is 
``knowledge'' that the foreign-produced item is being exported, 
reexported, or transferred (in-country) to or within the PRC, or being 
incorporated into any ``part,'' ``component,'' ``computer,'' or 
``equipment'' destined to the PRC.
3. Supercomputer End-Use FDP Rule
    The new ``Supercomputer end-use FDP rule'' under Sec.  734.9(i) of 
the EAR makes any foreign-produced item subject to the EAR if it meets 
the product scope in paragraph (i)(1)--either paragraph (i)(1)(i) or 
(ii)--and the end-use and country scope in paragraph (i)(2) of Sec.  
734.9. Paragraph (i)(1)(i) (``Direct product'' of ``technology'' or 
``software'') of this new Supercomputer end-use FDP rule specifies that 
a foreign-produced item meets the product scope if it meets the 
conditions identified in paragraph (i)(1)(i), i.e., meaning the 
foreign-produced item is the ``direct product'' of certain specified 
``technology'' or ``software'' subject to the EAR. The product scope 
also includes foreign-produced items that are the products of a 
complete plant or `major component' of a plant, whether made in the 
United States or a foreign country, that itself is a ``direct product'' 
of certain specified U.S.-origin ``technology'' or ``software.'' The 
product scope for this FDP rule generally matches the product scope for 
the new ``supercomputer'' end use rule in Sec.  744.23 of the EAR.
    Paragraph (i)(2) (Country and end-use scope) of Sec.  734.9(i) 
specifies that a foreign-produced item meets the country and end-use 
scope if there is ``knowledge'' that the foreign produced items will be 
1) used in the design, ``development,'' ``production,'' operation, 
installation (including on-site installation), maintenance (checking), 
repair, overhaul, or refurbishing of a ``supercomputer'' located in or 
destined to the PRC; or 2) incorporated into, or used in the 
``development,'' or ``production,'' of any ``part,'' ``component,'' or 
``equipment'' that will be used in a ``supercomputer'' located in or 
destined to the PRC.
    The end-use scope for this FDP rule generally matches the end-use 
requirement for the new ``supercomputer'' end-use control in Sec.  
744.23 of the EAR. Because the product scope, end-use scope, and 
country scope of this FDP rule generally match the license requirements 
in Sec.  744.23 of the EAR, items that meet the terms of this foreign 
direct product rule should also require a license under Sec.  744.23 of 
the EAR.
    Relatedly, Sec.  772.1 of the EAR is amended by adding a definition 
for ``supercomputer,'' as follows: ``A computing ``system'' having a 
collective maximum theoretical compute capacity of 100 or more double-
precision (64-bit) petaflops or 200 or more single-precision (32-bit) 
petaflops within a 41,600 ft\3\ or smaller envelope.''

F. Instituting a New End-Use and End-User Control for 
``Supercomputers'' Under Sec.  744.23 of the EAR

    In part 744 (End-Use and End-User Controls), this rule adds a new 
Sec.  744.23 (``Supercomputer'' and semiconductor end use). New Sec.  
744.23 imposes an end-use control that is supplemental to CCL-based 
license requirements and adds two prohibitions under paragraphs (a) and 
(b). Paragraph (a) specifies that you may not export, reexport, or 
transfer (in-country) an item meeting the product scope in paragraph 
(a)(1) when you have ``knowledge'' at the time of export, reexport, or 
transfer (in-country) that the item will be used, directly or 
indirectly, in an applicable end use in paragraph (a)(2). In addition, 
new paragraph (a)(1)(iii) imposes a license requirement on any item 
subject to the EAR when you have ``knowledge'' at the time of the 
export, reexport, or transfer (in-country) that the item is destined 
for a specified end use, i.e., the ``development'' or ``production'' of 
integrated circuits at a semiconductor fabrication ``facility'' located 
in China that fabricates certain integrated circuits.
    Paragraph (a)(1) sets forth the product scope, which generally 
aligns with the new Supercomputer FDP rule in Sec.  734.9(i), but this 
license requirement also applies to U.S.-origin items and other items 
subject to the EAR--not just the foreign-produced items subject to the 
EAR under the Supercomputer FDP rule.
    Paragraph (a)(2) specifies the end-use scope, which includes the 
design, ``development,'' ``production,'' operation, installation 
(including on-site installation), maintenance (checking), repair, 
overhaul, or refurbishing of a ``supercomputer'' located in or destined 
to the PRC; incorporation of an item meeting the product scope of 
paragraph (a)(1) into any ``component'' or ``equipment'' that will be 
used in a ``supercomputer'' located in or destined to the PRC; the 
``development'' or ``production,'' of integrated circuits at a 
semiconductor fabrication ``facility'' located in the PRC that 
fabricates integrated circuits with specified parameters or if you do 
not know whether such semiconductor fabrication ``facility'' can 
produce such integrated circuits; or the ``development,'' 
``production,'' ``use,'' operation, installation (including on-site 
installation), maintenance (checking), repair, overhaul, or 
refurbishing of any item in the PRC used in the ``development'' or 
``production,'' of integrated circuits.
    This rule adds paragraph (b) (Additional prohibition on persons 
informed by BIS) to new Sec.  744.23 to include an ``is informed'' 
process similar to other part 744 end-use controls. New paragraph (b) 
specifies that BIS may inform persons, either individually by specific 
notice or through amendment to the EAR, that a license is required for 
certain exports, reexports, or transfers (in-country) of any item 
subject to the EAR to a certain end user because there is an 
unacceptable risk of use in, or diversion to, the activities specified 
in paragraph (a)(1) of Sec.  744.23. Consistent with other ``is 
informed'' provisions of the EAR, this rule specifies in paragraph (b) 
that a specific notice may be given only by, or at the direction of, 
the Deputy Assistant Secretary for Export Administration. In addition, 
paragraph (b) specifies that when such notice is provided orally, it 
will be followed by a written notice within two working

[[Page 62191]]

days. This rule also clarifies that the absence of any such 
notification under paragraph (b) does not excuse persons from 
compliance with the license requirements of paragraph (a)(1) or (2) of 
Sec.  744.23 of the EAR.
    This rule also adds paragraph (c) to new Sec.  744.23 to specify 
that no license exceptions are available to overcome the license 
requirements in Sec.  744.23. As with other end-use controls in part 
744 of the EAR, this limitation on license exceptions applies even if 
the items also require a license under another provision of the EAR 
that is not so limited. For example, even if an item categorized under 
ECCN 3A001 is ordinarily eligible for export to China under License 
Exception RPL (for replacement parts), it would not be eligible for 
License Exception RPL if it is for a ``supercomputer'' that is located 
in or destined to the PRC.
    Finally, this rule adds paragraph (d) (License Review Standards) to 
specify that there is a presumption of denial for applications to 
export, reexport, or transfer (in-country) of items that meet the 
product scope in paragraph (a)(1) of Sec.  744.23 and the end use scope 
of paragraph (a)(2) of that section, except for certain end users in 
China that are headquartered in the United States or in a Country Group 
A:5 or A:6 country. This license review standard applies even though 
the items subject to this end-use control may require licenses to the 
PRC or other destinations for multiple reasons, including for reasons 
that have a more favorable licensing policy (e.g., 3A001 items require 
a license for China and would normally be reviewed under the license 
review policy described in Sec.  742.4(b)(7), but for an end-use 
described in new Sec.  744.23, BIS will review the license application 
under the presumption of denial policy described above). The new 
paragraph also specifies that when an entity listed under supplement 
no. 4 to part 744 of the EAR (i.e., the Entity List) and designated 
with a reference to footnote 4 are a party to the transaction, the 
license review policy for foreign-produced items subject to a license 
requirement is set forth in such entity's entry in supplement no. 4 to 
part 744 of the EAR.
    BIS estimates new license requirements under Sec.  744.23 will 
result in an additional five (5) license applications being submitted 
to BIS annually.
    In Sec.  744.1 (General provisions), as a conforming change to 
addition of Sec.  744.23, this rule adds one sentence to specify that 
the end use and end-user controls in part 744 also extend to those in 
new Sec.  744.23.
    Provisions of this paragraph regarding the ``development'' or 
``production,'' of integrated circuits at certain semiconductor 
manufacturing ``facilities'' located in China are described below in 
Section IV.B of this preamble.

G. Revisions to the Entity List Under Supplement No. 4 to Part 744 of 
the EAR

1. Overview of Entity List
    The Entity List (supplement no. 4 to part 744 of the EAR) 
identifies entities for which there is reasonable cause to believe, 
based on specific and articulable facts, that the entities have been 
involved, are involved, or pose a significant risk of being or becoming 
involved in activities contrary to the national security or foreign 
policy interests of the United States. The EAR imposes additional 
license requirements on and limits the availability of most license 
exceptions for exports, reexports, and transfers (in-country) to listed 
entities.
    The license review policy for each listed entity is identified in 
the ``License Review Policy'' column on the Entity List, and the impact 
on the availability of license exceptions is described in the relevant 
Federal Register document that added the entity to the Entity List. Any 
license application for an export, reexport, or transfer (in-country) 
involving an entity on the Entity List that is subject to an additional 
EAR license requirement will also be reviewed in accordance with the 
license review policies in the sections of the EAR applicable to those 
license requirements. For example, for Russian entities on the Entity 
List, if the export, reexport, or transfer (in-country) is subject to a 
license requirement in Sec.  746.6, Sec.  746.8, or Sec.  746.10, the 
license application will be reviewed in accordance with the license 
review policies in those sections in addition to the specified license 
review policy under the Entity List entry.
    BIS places entities on the Entity List pursuant to parts 744 
(Control Policy: End-User and End-Use Based) and 746 (Embargoes and 
Other Special Controls) of the EAR. Paragraphs (b)(1) through (5) of 
Sec.  744.11 include an illustrative list of activities contrary to the 
national security or foreign policy interests of the United States.
    The End-User Review Committee (ERC), composed of representatives of 
the Departments of Commerce (Chair), State, Defense, Energy and, where 
appropriate, the Treasury, makes all decisions regarding additions to, 
removals from, or other modifications to the Entity List. The ERC makes 
all decisions to add an entry to the Entity List by majority vote and 
makes all decisions to remove or modify an entry by unanimous vote.
2. Entity List Decisions: Revisions to the Entity List
    This rule expands the scope of licensing requirements for 28 
existing entities on the Entity List that are located in the PRC and 
were added to the Entity List between 2015 and 2021. Certain of the 
entities are developing supercomputers believed to be used in nuclear 
explosive activities; these entities have been placed on the Entity 
List triggering license requirements for items destined to those 
specific entities. For example, see 80 FR 8527, Feb. 18, 2015 
(``National University of Defense Technology (NUDT) has used U.S.-
origin multicores, boards, and (co)processors to produce the TianHe-1A 
and TianHe-2 supercomputers located at the National Supercomputing 
Centers in Changsha, Guangzhou, and Tianjin. The TianHe-1A and TianHe-2 
supercomputers are believed to be used in nuclear explosive activities 
as described in Sec.  744.2(a) of the EAR.'') Similarly, BIS has added 
multiple other Chinese entities involved in the ``development'' and 
``production'' of integrated circuits to the Entity List based on their 
involvement with WMD as well as military end uses and end users. For 
example, on April 9, 2021 (86 FR 18437), BIS added seven Chinese 
entities to the Entity List ``on the basis of their procurement of 
U.S.-origin items for activities contrary to the national security and 
foreign policy interests of the United States. Specifically, these 
entities are involved in activities that support China's military 
actors, its destabilizing military modernization efforts, and/or its 
[WMD] programs.'' The types of computing facilities located at these 
entities are used for designing stealth technologies, space planes, 
hypersonic missiles, and other military applications including nuclear 
weapons design. Most specifically, with the April 9 rule, BIS added 
chip developer Tianjin Phytium Information Technology (also known as 
Phytium) to the Entity List.
    Even though the license requirement for these entities remains all 
items subject to the EAR, this rule changes the scope of items subject 
to the EAR for transactions involving these entities through the 
revised Entity List FDP rule in Sec.  734.9(e)(2) of the EAR and adds a 
new license requirement in Sec.  744.11 of the EAR that is specific to 
foreign produced items for these entities, both discussed elsewhere in 
this interim final

[[Page 62192]]

rule. This rule adds a footnote 4 to the entities, and a reference to 
the Entity List FDP rule in the license requirements column of the 
Entity List. With these changes, additional foreign-produced items will 
now be subject to the EAR and require a license when destined to or for 
these 28 entities. The agencies represented on the ERC have approved 
the changes.
    The 28 revised entities are:
     Beijing Institute of Technology;
     Beijing Sensetime Technology Development Co., Ltd.;
     Changsha Jingjia Microelectronics Co., Ltd.;
     Chengdu Haiguang Integrated Circuit;
     Chengdu Haiguang Microelectronics Technology;
     China Aerospace Science and Technology Corporation (CASC) 
9th Academy 772 Research Institute
     Dahua Technology;
     Harbin institute of technology;
     Higon;
     IFLYTEK;
     Intellifusion;
     Megvii Technology;
     National Supercomputer Center Zhengzhou;
     National Supercomputing Center Changsha (NSCC-CS);
     National Supercomputing Center Guangzhou (NSCC-GZ);
     National Supercomputing Center Jinan;
     National Supercomputing Center Shenzhen;
     National Supercomputing Center Tianjin (NSCC-TJ);
     National Supercomputing Center Wuxi (NSCC-WX);
     National University of Defense Technology;
     New H3C Semiconductor Technologies Co., Ltd.;
     Northwestern Polytechnical University;
     Shanghai High-Performance Integrated Circuit Design 
Center;
     Sugon;
     Sunway Microelectronics;
     Tianjin Phytium Information Technology;
     Wuxi Jiangnan Institute of Computing Technology; and
     Yitu Technologies.
    To assist with clarity, this rule revises Sec.  744.11 by making 
editorial changes to the paragraph that imposes a license requirement 
on foreign-produced items for footnote 1 entities. This rule adds 
double quotes around the term ``direct product'' in the paragraph 
heading for footnote 1 entities, because that term is defined in part 
772, and updates the citation and description of the prohibition for 
footnote 1 entities in paragraph (e)(1)(i). This rule also adds 
paragraph (a)(2) to impose a license requirement on foreign-produced 
items for footnote 4 entities. The new paragraph prohibits, without a 
license, the reexport, export from abroad, or transfer (in-country) of 
any foreign-produced item subject to the EAR pursuant to Sec.  
734.9(e)(2)(i) of the EAR when an entity designated with footnote 4 on 
the Entity List in supp. no. 4 to part 744 of the EAR is a party to the 
transaction. This prohibition on foreign-produced items for these 
identified Chinese entities is necessary because many supercomputer 
parts and components based on U.S. technology and software are not 
produced in the United States, and more conventional export control 
measures would not effectively limit the U.S. contribution to Chinese 
advanced computing efforts by these entities.

IV. Overview of New Controls: Certain Semiconductor Manufacturing 
Items; and Integrated Circuits End Use

    This rule further addresses U.S. national security and foreign 
policy concerns by making three changes related to semiconductor 
manufacturing equipment. First, BIS adds to the CCL certain advanced 
semiconductor manufacturing equipment under a new ECCN 3B090, 
controlled for RS and AT reasons of control with limited license 
exception availability. It also adds references to the new ECCN 3B090 
under the related ``software'' and ``technology'' controls under ECCNs 
3D001 and 3E001. Second, this rule establishes a new end-use control 
for any item subject to the EAR when the exporter, reexporter, or 
transferor knows the item is for ``development'' or ``production'' of 
ICs (packaged or unpackaged) at a semiconductor fabrication 
``facility'' located in the PRC that fabricates ICs (packaged or 
unpackaged) that meet certain specified criteria under Sec.  744.23. 
Finally, this rule informs the public that certain specific ``U.S. 
persons'' activity to `support' the ``development'' or ``production'' 
of ICs (packaged or unpackaged) that meet certain criteria under Sec.  
744.6 of the EAR requires a license.

A. Addition of Semiconductor Manufacturing Equipment, and Associated 
``Software'' and ``Technology'' to the Commerce Control List 
(Supplement No. 1 to Part 774 of the EAR)

    This rule adds new ECCN 3B090 to the CCL for specified 
semiconductor manufacturing equipment. The new ECCN is controlled for 
RS reasons and a license is required when the items it controls are 
destined to the PRC. This rule imposes this license requirement by 
adding ECCN 3B090 to an RS control in Sec.  742.6(a)(6) of the EAR. 
ECCN 3B090 will also be controlled for AT reasons when destined to a 
country that has AT:1 license requirement (Iran Sec.  742.8, Syria 
Sec.  742.9, or North Korea Sec.  742.19); see also parts 744 and 746 
of the EAR for additional controls on items controlled for AT reasons.
    Associated ``software'' and ``technology'' controls in the CCL for 
items in ECCN 3B090 are found in ECCNs 3D001 and 3E001, respectively; 
the ``software'' and ``technology'' is also controlled for RS reasons 
(which this rule adds as a new reason for control) when destined to the 
PRC, and for other reasons described in the ECCN entries. Specifically, 
this rule adds the new RS license requirement to the License 
Requirement tables within ECCNs 3D001 and 3E001.
    As described in new Sec.  742.6(b)(10), license applications for 
semiconductor manufacturing items, such as semiconductor equipment, 
destined to end users in China that are headquartered in the United 
States or in a country in Country Group A:5 or A:6 will be considered 
on a case-by-case basis, taking into account factors including 
technology level, customers and compliance plans.
    License requirements for AT Column 1 items are identified in part 
742 of the EAR; the items subject to these requirements are also 
subject to the end-use and end-user controls in part 744 of the EAR as 
well as many of the country and sector controls imposed in part 746 of 
the EAR, including controls that apply to Russia and Belarus under 
Sec.  746.8(a)(1) of the EAR. If, in the future, a multilateral export 
control regime adopts controls for the specified items controlled in 
this interim final rule, BIS will amend the controls implemented in 
this rule as needed to implement multilateral controls in place of the 
unilateral control.
    The only license exception available for exports or reexports of 
items controlled under new ECCN 3B090 (and the associated software and 
technology in ECCNs 3D001 and 3E001) is listed under Sec.  740.2(a)(9) 
of the EAR, which is an existing paragraph that contains a list of 
license exceptions that are appropriate for the license requirements 
implemented in this rule. The only available license exception is 
License Exception Governments, International organizations, 
international inspections under the Chemical Weapons Convention, and 
the International Space Station (GOV), restricted to eligibility under 
the provision of Sec.  740.11(b)(2)(ii)

[[Page 62193]]

(exports, reexports, and transfers (in-country) made by or consigned to 
a department or agency of the United States Government).
    BIS estimates these new license requirements and the restrictions 
on license exceptions described below will result in an additional 
fifty (50) license applications being submitted to BIS annually.

B. Instituting a New End-Use Control for Any Item Subject to the EAR 
for the ``Development'' or ``Production,'' of Integrated Circuits at 
Certain Semiconductor Manufacturing ``Facilities'' Located in the PRC

    In part 744 (End-Use and End-User Controls), this rule adds Sec.  
744.23 (``Supercomputers'' and semiconductor manufacturing end use), to 
impose an end-use control that is supplemental to CCL-based license 
requirements. BIS imposes the new end-use control by adding 
prohibitions under paragraphs (a)(1)(iii) through (v). Paragraph (a) 
specifies that you may not export, reexport, or transfer (in-country) 
an item meeting the product scope in paragraph (a)(1) when you have 
``knowledge'' at the time of export, reexport, or transfer (in-country) 
that the item will be used, directly or indirectly, in an applicable 
end use in paragraph (a)(2).
    As with all end-use controls under the EAR, exporters, reexporters, 
and transferors are responsible for reviewing their transactions in 
accordance with the ``Know Your Customer'' Guidance in supplement no. 3 
to part 732 of the EAR. If your customer is a semiconductor 
manufacturing ``facility'' involved in the end uses set forth in 
paragraph (a)(2) of Sec.  744.23, in addition to the best practice of 
obtaining and end-use statement from your customer, you should also 
evaluate all other available information to determine whether a license 
is required pursuant to Sec.  744.23. If your customer is a reseller, 
distributor, or other intermediary transaction party, it is a good 
compliance practice to attempt to obtain confirmation of the actual end 
use and end user of your products. If the intermediary party (e.g., 
reseller, distributor) cannot furnish these details at the time of the 
proposed export or reexport because it is a prospective order and no 
specific customer has yet been identified, as a good compliance 
practice you may attempt to obtain a written statement that the 
intermediary party understands the license requirements in Sec.  744.23 
and will either: (a) inform you of the actual end use and end user, 
once known, so you may evaluate whether a license is required for any 
proposed in-country transfer, or (b) evaluate the end use and end user 
and apply for any required license for any proposed in-country 
transfer. The new prohibition this rule adds to Sec.  744.23(a)(1)(iii) 
through (v) and (a)(2)(iii) through (v) is subject to BIS's ``is 
informed'' process under paragraph (b) (Additional prohibition on 
persons informed by BIS).
    As specified under paragraph (c) to newly added Sec.  744.23, no 
license exceptions are available to overcome the license requirements 
in Sec.  744.23.
    Paragraph (d) (License Review Standards) specifies that there is a 
presumption of denial for applications to export, reexport, or transfer 
(in-country) items subject to the license requirements of Sec.  744.23, 
which will also apply for the ``development'' or ``production,'' of 
integrated circuits at a semiconductor fabrication ``facility'' located 
in the PRC that fabricates certain integrated circuits and the 
``development'' or ``production'' in the PRC of any ``parts,'' 
``components'' or ``equipment'' specified under certain ECCNs. This 
license review standard applies even though the items subject to this 
end-use control may require licenses to the PRC or other destinations 
for multiple reasons, including for reasons that have a more favorable 
licensing policy.
    BIS estimates new license requirements under Sec.  
744.23(a)(1)(iii) through (v) and (a)(2)(iii) through (vi) will result 
in an additional twenty-five (25) license applications being submitted 
to BIS annually.
    Provisions of this paragraph regarding ``supercomputers'' are 
described above in Section III.F of this preamble.

C. Providing Public Notice That ``U.S. Person'' `Support' for 
``Development'' or ``Production,'' of Integrated Circuits That Meet 
Certain Specified Criteria Implicates the General Prohibitions in Sec.  
744.6(b) of the EAR

    In part 744, this rule revises Sec.  744.6 (Restrictions on 
specific activities of ``U.S. persons'') to inform ``U.S. persons'' 
that `support' for the ``development'' or ``production,'' of integrated 
circuits that meet certain specified criteria in the PRC implicates the 
general prohibitions set forth in Sec.  744.6(b) of the EAR and is 
therefore subject to a BIS license requirement. As authorized in ECRA 
(50 U.S.C. 4812(a)(2)), Sec.  744.6 specifies that no ``U.S. person'' 
may without a license from BIS `support' the WMD- and military-
intelligence-related end uses and end users set forth in paragraphs 
(b)(1) through (5). `Support' is defined in paragraph (b)(6) to 
encompass a number of activities, including, but not limited to, 
shipping, transmitting, or transferring (in-country) items not subject 
to the EAR; facilitating such shipment, transmission, or transfer (in-
country); or servicing items not subject to the EAR.
    As described above, semiconductor manufacturing items enable the 
``development'' or ``production'' of advanced ICs that may contribute 
to the WMD-related end uses set forth in Sec.  744.6(b). Section 
744.6(c) of the EAR provides that BIS may inform ``U.S. persons'' 
through amendment to the EAR published in the Federal Register that a 
license is required because an activity could involve the type of 
`support' defined in paragraph (b)(6) to the end uses and end users set 
forth in paragraphs (b)(1) through (5). Accordingly, BIS is amending 
the EAR in this rule to set forth the current text of Sec.  744.6(c) in 
new Sec.  744.6(c)(1) and to add a new Sec.  744.6(c)(2) to inform 
``U.S. persons'' of activities related to the ``development'' or 
``production'' of ICs that could involve `support' to WMD and missile 
end uses set forth in paragraph (b) and are therefore subject to a BIS 
license requirement.
    Specifically, new paragraph (c)(2) informs ``U.S. persons'' that 
the shipment, transmission, or transfer (in-country) to or within the 
PRC of any item not subject to the EAR; facilitation of such shipment, 
transmission, or transfer (in-country); or servicing of any item not 
subject to the EAR to or within the PRC when such activity would assist 
the ``development'' or ``production'' of ICs meeting certain parameters 
is subject to a license requirement. Likewise, BIS is informing ``U.S. 
persons'' that the shipment, transmission, or transfer (in-country) of 
certain items not subject to the EAR that meet specific technical 
parameters set forth on the CCL; facilitation of such shipment, 
transmission, or transfer (in-country); or servicing of such items to 
or within the PRC when such activity would assist the ``development'' 
or ``production'' of ICs, but you cannot determine the technical 
parameters of those ICs requires a license. A license is also required 
for ``U.S. persons'' activities involving shipping, transmitting, or 
transferring (in-country) or facilitating the shipment, transmission, 
or transfer (in-country) to or within the PRC any item not subject to 
the EAR and meeting the parameters of ECCN 3B090, 3D001 (for 3B090), or 
3E001 (for 3B090) regardless of end use or end user; or servicing any 
item not subject to the EAR located in the PRC and meeting the 
parameters of ECCN 3B090, 3D001 (for 3B090), or 3E001 (for

[[Page 62194]]

3B090), regardless of end use or end user.
    This is consistent with the scope of the end-use restriction for 
items subject to the EAR in new Sec.  744.23(a)(2)(iii).
    As specified under paragraph (d)(1) (Exceptions), no license 
exceptions are available to overcome the license requirements in Sec.  
744.6(b)(1) through (4) or (c)(2).
    Under paragraph (e)(3) (License Review Standards), there is a 
presumption of denial for applications to export, reexport, or transfer 
(in-country) items subject to the license requirements of Sec.  
744.6(c)(2) except for license applications for end users in China 
headquartered in the United States or in a country in Country Group A:5 
or A:6, which will be considered on a case-by-case basis taking into 
account factors including technology level, customers and compliance 
plans.
    BIS estimates new license requirements under Sec.  744.6(c)(2)(i) 
will result in an additional five (5) license applications being 
submitted to BIS annually.

V. Measures To Minimize Short Term Impacts on Supply Chains

    BIS is imposing the controls described in this rule to protect 
critical U.S. national security and foreign policy interests. BIS is 
aware that the new controls being imposed in this rule may result in 
the disruption of certain companies' activities involving China, in 
particular in relation to their supply chains. In order to give 
companies time to become familiar with the new controls being 
implemented, this rule implements two changes to minimize the short 
term impact on supply chains in transactions that do not appear to 
implicate national security or foreign policy concerns.

A. Certification of Compliance With New FDP Rule

    In Sec.  734.9(h), this rule adds a new paragraph (h)(3) 
(Certification) to assist exporters, reexporters, and transferors in 
determining whether the items being exported, reexported, or 
transferred (in-country) are subject to the EAR based on the advanced 
computing FDP rule under Sec.  734.9(h). The model certificate provided 
by BIS in new supplement no. 3 to part 734, is not required under the 
EAR, but is provided to assist exporters, reexporters, and transferors 
with the process of resolving potential red flags regarding whether an 
item is subject to the EAR based on Sec.  734.9(h). The model 
certificate contemplates inclusion of information described in 
paragraph (b) of supplement no. 1 to part 734 and the signature by an 
official or designated employee of the certifying company. If a person 
in the supply chain is unable to obtain the certification due diligence 
is suggested and a BIS authorization may be required for the next set 
of recipients in the supply chain. While BIS expects that this 
certificate will be useful in facilitating understanding the 
application of the EAR to an item, BIS does not view use of this 
certificate alone to be a comprehensive due diligence process.
    BIS has determined that use of the certificate will protect U.S. 
national security and foreign policy interests. BIS expects it will 
also limit the burden on entities participating in supply chains by 
allowing them to proceed with transactions within their supply chains.
    In Sec.  762.2 this rule revises paragraph (b) to add a reference 
to the FDP supply chain certification that this rule added under new 
Sec.  734.9(h). This interim final rule makes this change by 
redesignating paragraphs (b)(3) through (31) as paragraphs (b)(4) 
through (32) and adding new paragraph (b)(3). In Sec.  740.10 
(Servicing and replacement of parts and equipment (RPL)), this interim 
final rule makes a conforming change to paragraph (c)(2) in Sec.  762.2 
to remove the references to Sec.  762.2(b)(4), (47), and (48) and 
instead include a reference to Sec.  762.2(b).

B. Temporary General License--Supply Chain

    This rule establishes a temporary general license (TGL) in new 
paragraph (d) of supplement no. 1 to part 736 that allows, from October 
21, 2022, through April 7, 2023, exports, reexports, in-country 
transfers, and exports from abroad destined to or within China by 
companies not headquartered in Country Groups D:1 or D:5 or E to 
continue or to engage in integration, assembly (mounting), inspection, 
testing, quality assurance, and distribution of items covered by ECCN 
3A090, 4A090, and associated software and technology in ECCN 3D001, 
3E001, 4D090, or 4E001; or any item that is a computer, integrated 
circuit, ``electronic assembly'' or ``component'' and associated 
software and technology, specified elsewhere on Commerce Control List 
(supplement no. 1 to part 774), which meets or exceeds the performance 
parameters of ECCN 3A090 or 4A090. The purpose of this TGL is to avoid 
disruption of supply chains for items covered by ECCNs that are 
ultimately destined to customers outside of China. This TGL does not 
authorize the export, reexport, in-country transfer, or export from 
abroad to ``end-users'' or ``ultimate consignees'' in China. This TGL 
is only for companies that engage in the specific activities authorized 
under this TGL. The TGL does not overcome any license requirements set 
forth in the EAR involving an entity on the Entity List or other 
prohibited end use and end user restrictions (e.g., those applicable to 
military end uses and end users). Prior to any export, reexport, or 
transfer (in-country) to China pursuant to this TGL, the exporter, 
reexporter, or transferor, must retain the name of the entity receiving 
the item and the complete physical address of where the item is 
destined in China and the location of that company's headquarters.
    In response to this interim final rule, BIS welcomes comments on 
the temporary general license, including comments on how important the 
temporary general license is for supply chains to continue functioning, 
comments on dependency of certain aspects of the supply chain on 
companies in China, overview of steps taken by companies to reduce 
dependency on China for those aspects of their supply chains, and if a 
request to extend the temporary license is made to provide a rationale 
for why an extension may be warranted. BIS, in consultation with the 
other agencies, will solely determine whether any extension or 
modification of the TGL is warranted, but comments from the public are 
welcome and may help inform any subsequent decisions on the TGL. Upon 
expiration of the TGL, exporters will need to apply for an 
individually-validated export license to export such advanced computing 
chips, assemblies containing them, and related software and technology 
to the PRC for supply chain-related activities, such as assembly, 
inspection, quality assurance, and distribution. Such license 
applications will be reviewed consistent with the licensing policy set 
forth in new Sec.  742.6(b)(10), as described above in Section III.B.
Savings Clause
    Shipments of items removed from license exception eligibility or 
eligibility for export, reexport or transfer (in-country) without a 
license as a result of this regulatory action that were on dock for 
loading, on lighter, laden aboard an exporting carrier, or en route 
aboard a carrier to a port of export, on October 7, 2022, may continue 
to the destination under the previous license exception eligibility or 
without a license so long as they have been exported, reexported or 
transferred (in-country) before November 7, 2022. Any such items not 
actually exported, reexported or transferred (in-country) before 
midnight, on November 7, 2022, require a license

[[Page 62195]]

in accordance with this interim final rule.
    Deemed exports and reexports of technology and software related to 
ECCNs 3A991.p and 4A994.l that previously did not require a license, 
but now require a license because of the controls implemented by this 
rule, will only require licenses if the technology or software release 
exceeds the scope of the technology or software that the foreign 
national already had access to prior to the implementation of controls 
in this rule.
Export Control Reform Act of 2018
    On August 13, 2018, the President signed into law the John S. 
McCain National Defense Authorization Act for Fiscal Year 2019, which 
included the Export Control Reform Act of 2018 (ECRA) (codified, as 
amended, at 50 U.S.C. Sections 4801-4852). ECRA provides the legal 
basis for BIS's principal authorities and serves as the authority under 
which BIS issues this rule. To the extent it applies to certain 
activities that are the subject of this rule, the Trade Sanctions 
Reform and Export Enhancement Act of 2000 (TSRA) (codified, as amended, 
at 22 U.S.C. Sections 7201-7211) also serves as authority for this 
rule.
Rulemaking Requirements
    1. This interim final rule is not a ``significant regulatory 
action'' because it ``pertain[s]'' to a ``military or foreign affairs 
function of the United States'' under sec. 3(d)(2) of Executive Order 
12866.
    2. Notwithstanding any other provision of law, no person is 
required to respond to, nor shall any person be subject to a penalty 
for failure to comply with, a collection of information subject to the 
requirements of the Paperwork Reduction Act of 1995 (44 U.S.C. 3501 et 
seq.) (PRA), unless that collection of information displays a currently 
valid Office of Management and Budget (OMB) Control Number.
    This rule involves the following OMB-approved collections of 
information subject to the PRA:
     0694-0088, ``Multi-Purpose Application,'' which carries a 
burden hour estimate of 29.4 minutes for a manual or electronic 
submission;
     0694-0096 ``Five Year Records Retention Period,'' which 
carries a burden hour estimate of less than 1 minute; and
     0607-0152 ``Automated Export System (AES) Program,'' which 
carries a burden hour estimate of 3 minutes per electronic submission.
    BIS estimates that these new controls under the EAR imposed by this 
rule will result in an increase of 1,700 license applications submitted 
annually to BIS. However, the additional burden falls within the 
existing estimates currently associated with these control numbers. 
Additional information regarding these collections of information--
including all background materials--can be found at https://www.reginfo.gov/public/do/PRAMain by using the search function to enter 
either the title of the collection or the OMB Control Number.
    3. This rule does not contain policies with federalism implications 
as that term is defined in Executive Order 13132.
    4. Pursuant to section 1762 of the Export Control Reform Act of 
2018 (50 U.S.C. 4821) (ECRA), this action is exempt from the 
Administrative Procedure Act (APA) (5 U.S.C. 553) requirements for 
notice of proposed rulemaking, opportunity for public participation, 
and delay in effective date. While section 1762 of ECRA provides 
sufficient authority for such an exemption, this action is also 
independently exempt from these APA requirements because it involves a 
military or foreign affairs function of the United States (5 U.S.C. 
553(a)(1)).
    5. Because a notice of proposed rulemaking and an opportunity for 
public comment are not required to be given for this rule by 5 U.S.C. 
553, or by any other law, the analytical requirements of the Regulatory 
Flexibility Act, 5 U.S.C. 601, et seq., are not applicable. 
Accordingly, no regulatory flexibility analysis is required, and none 
has been prepared.

List of Subjects

15 CFR Part 734

    Administrative practice and procedure, Exports, Inventions and 
patents, Research, Science and technology.

15 CFR Parts 736 and 772

    Exports.

15 CFR Part 740

    Administrative practice and procedure, Exports, Reporting and 
recordkeeping requirements.

15 CFR Part 742

    Exports, Terrorism.

15 CFR Part 744

    Exports, Reporting and recordkeeping requirements, Terrorism.

15 CFR Part 762

    Administrative practice and procedure, Business and industry, 
Confidential business information, Exports, Reporting and recordkeeping 
requirements.

15 CFR Part 774

    Exports, Reporting and recordkeeping requirements.

    For the reasons stated in the preamble, parts 734, 736, 740, 742, 
744, 762, 772, and 774 of the Export Administration Regulations (15 CFR 
parts 730 through 774) are amended as follows:

PART 734--SCOPE OF THE EXPORT ADMINISTRATION REGULATIONS

0
1. The authority citation for part 734 continues to read as follows:

    Authority:  50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50 
U.S.C. 1701 et seq.; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 
950; E.O. 13020, 61 FR 54079, 3 CFR, 1996 Comp., p. 219; E.O. 13026, 
61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 
CFR, 2001 Comp., p. 783; E.O. 13637, 78 FR 16129, 3 CFR, 2014 Comp., 
p. 223; Notice of November 10, 2021, 86 FR 62891 (November 12, 
2021).


0
2. Effective on October 21, 2022, Sec.  734.9 is amended by revising 
paragraph (e) and adding paragraphs (h) and (i) to read as follows:


Sec.  734.9  Foreign-Direct Product (FDP) Rules.

* * * * *
    (e) Entity List FDP rule. A foreign-produced item is subject to the 
EAR if it meets the product scope and end-user scope in either Entity 
List FDP rule footnote 1 provision in paragraph (e)(1) of this section 
or the Entity List FDP rule Footnote 4 provision in paragraph (e)(2) of 
this section.
    (1) Entity List FDP rule: Footnote 1. A foreign-produced item is 
subject to the EAR if it meets both the product scope in paragraph 
(e)(1)(i) of this section and the end-user scope in paragraph 
(e)(1)(ii) of this section. See Sec.  744.11(a)(2)(i) of the EAR for 
license requirements, license review policy, and license exceptions 
applicable to foreign-produced items that are subject to the EAR 
pursuant to this paragraph (e)(1).
    (i) Product Scope Entity List FDP rule: Footnote 1. The product 
scope applies if a foreign-produced item meets the conditions of either 
paragraph (e)(1)(i)(A) or (B) of this section.
    (A) ``Direct product'' of ``technology'' or ``software.'' A 
foreign-produced item meets the product scope of this paragraph 
(e)(1)(i)(A) if the foreign-produced item is a ``direct product'' of 
``technology'' or ``software'' subject to the EAR and specified in ECCN 
3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D993, 4D994, 4E001, 
4E992,

[[Page 62196]]

4E993, 5D001, 5D991, 5E001, or 5E991 of the Commerce Control List (CCL) 
in supplement no. 1 to part 774 of the EAR; or
    (B) Product of a complete plant or `major component' of a plant 
that is a ``direct product.'' A foreign-produced item meets the product 
scope of this paragraph (e)(1)(i)(B) if the foreign-produced item is 
produced by any plant or `major component' of a plant that is located 
outside the United States, when the plant or `major component' of a 
plant, whether made in the U.S. or a foreign country, itself is a 
``direct product'' of U.S.-origin ``technology'' or ``software'' that 
is specified in ECCN 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 
4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D991, 5E001, or 5E991 of the 
CCL.

    Note 2 to paragraph (e)(1)(i):  A foreign-produced item includes 
any foreign-produced wafer whether finished or unfinished.

    (ii) End-user scope of the Entity List FDP rule: Footnote 1. A 
foreign-produced item meets the end-user scope of this paragraph 
(e)(1)(ii) if there is ``knowledge'' that:
    (A) Activities involving Footnote 1 designated entities. The 
foreign-produced item will be incorporated into, or will be used in the 
``production'' or ``development'' of any ``part,'' ``component,'' or 
``equipment'' produced, purchased, or ordered by any entity with a 
footnote 1 designation in the license requirement column of the Entity 
List in supplement no. 4 to part 744 of the EAR; or
    (B) Footnote 1 designated entities as transaction parties. Any 
entity with a footnote 1 designation in the license requirement column 
of the Entity List in supplement no. 4 to part 744 of the EAR is a 
party to any transaction involving the foreign-produced item, e.g., as 
a ``purchaser,'' ``intermediate consignee,'' ``ultimate consignee,'' or 
``end-user.''
    (2) Entity List FDP rule: Footnote 4. A foreign-produced item is 
subject to the EAR if it meets both the product scope in paragraph 
(e)(2)(i) of this section and the end-user scope in paragraph 
(e)(2)(ii) of this section. See Sec.  744.11(a)(2)(ii) of the EAR for 
license requirements, license review policy, and license exceptions 
applicable to foreign-produced items that are subject to the EAR 
pursuant to this paragraph (e)(2).
    (i) Product Scope Entity List FDP rule: Footnote 4. The product 
scope applies if a foreign-produced item meets the conditions of either 
paragraph (e)(2)(i)(A) or (B) of this section.
    (A) ``Direct product'' of ``technology'' or ``software.'' The 
foreign-produced item is a ``direct product'' of ``technology'' or 
``software'' subject to the EAR and specified in ECCN 3D001, 3D991, 
3E001, 3E002, 3E003, 3E991, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 
5D001, 5D002, 5D991, 5E001, 5E002, or 5E991 of the CCL; or
    (B) Product of plant or `major component' that is a ``direct 
product.'' The foreign-produced item is produced by any plant or `major 
component' of a plant when the plant or `major component' of a plant, 
whether made in the U.S. or a foreign country, itself is a ``direct 
product'' of U.S.-origin ``technology'' or ``software'' that is 
specified in ECCN 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 
4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D991, 5E001, 5E991, 5D002, 
or 5E002 of the CCL.
    (ii) End user scope of the Entity List FDP rule: Footnote 4. A 
foreign-produced item meets the end-user scope of this paragraph 
(e)(2)(ii) if there is ``knowledge'' that:
    (A) Activities involving Footnote 4 designated entities. The 
foreign-produced item will be incorporated into, or will be used in the 
``production'' or ``development'' of any ``part,'' ``component,'' or 
``equipment'' produced, purchased, or ordered by any entity with a 
footnote 4 designation in the license requirement column of the Entity 
List in supplement no. 4 to part 744 of the EAR; or
    (B) Footnote 4 designated entities as transaction parties. Any 
entity with a footnote 4 designation in the license requirement column 
of the Entity List in supplement no. 4 to part 744 of the EAR is a 
party to any transaction involving the foreign-produced item, e.g., as 
a ``purchaser,'' ``intermediate consignee,'' ``ultimate consignee,'' or 
``end-user.''
* * * * *
    (h) Advanced computing FDP rule. A foreign-produced item is subject 
to the EAR if it meets both the product scope in paragraph (h)(1) of 
this section and the destination scope in paragraph (h)(2) of this 
section. See Sec.  742.6(a)(6) of the EAR for license requirements and 
license exceptions and Sec.  742.6(b)(10) for license review policy 
applicable to foreign-produced items that are subject to the EAR under 
this paragraph (h).
    (1) Product scope of advanced computing FDP rule. The product scope 
applies if a foreign-produced item meets the conditions of either 
paragraph (h)(1)(i) or (ii) of this section.
    (i) ``Direct product'' of ``technology'' or ``software.'' A 
foreign-produced item meets the product scope of this paragraph (h) if 
it meets both the following conditions:
    (A) The foreign-produced item is the ``direct product'' of 
``technology'' or ``software'' subject to the EAR and specified in 
3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D090, 4D993, 4D994, 
4E001, 4E992, 4E993, 5D001, 5D002, 5D991, 5E001, 5E991, or 5E002 of the 
CCL; and
    (B) The foreign-produced item is:
    (1) Specified in ECCN 3A090, 3E001 (for 3A090), 4A090, or 4E001 
(for 4A090) of the CCL; or
    (2) An integrated circuit, computer, ``electronic assembly,'' or 
``component'' specified elsewhere on the CCL and meets the performance 
parameters of ECCN 3A090 or 4A090.
    (ii) Product of a complete plant or `major component' of a plant 
that is a ``direct product.'' A foreign-produced item meets the product 
scope of this paragraph (h) if it meets both of the following 
conditions:
    (A) The foreign-produced item is produced by any complete plant or 
`major component' of a plant that is located outside the United States, 
when the plant or `major component' of a plant, whether made in the 
United States or a foreign country, itself is a ``direct product'' of 
U.S.-origin ``technology'' or ``software'' that is specified in ECCN 
3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D090, 4D993, 4D994, 
4E001, 4E992, 4E993, 5D001, 5D991, 5E001, 5E991, 5D002, or 5E002 of the 
CCL; and
    (B) The foreign-produced item is:
    (1) Specified in ECCN 3A090, 3E001 (for 3A090), 4A090, or 4E001 
(for 4A090) of the CCL; or
    (2) An integrated circuit, computer, ``electronic assembly,'' or 
``component'' specified elsewhere on the CCL and meets the performance 
parameters of ECCN 3A090 or 4A090.
    (2) Destination or end use scope of the advanced computing FDP 
rule. A foreign-produced item meets the destination scope of this 
paragraph (h)(2) if there is ``knowledge'' that the foreign-produced 
item is:
    (i) Destined to the PRC or will be incorporated into any ``part,'' 
``component,'' ``computer,'' or ``equipment'' not designated EAR99 that 
is destined to the PRC; or
    (ii) Technology developed by an entity headquartered in the PRC for 
the ``production'' of a mask or an integrated circuit wafer or die.
    (3) Certification. Exporters, reexporters, and transferors may 
obtain a written certification from a supplier that asserts an item 
being provided would be subject to the EAR if future transaction meet 
the destination scope in paragraph (h)(2)(i) or (ii) of this section. 
The model certificate provided

[[Page 62197]]

by BIS in supplement no. 1 to this part is not required under the EAR, 
but through its provision, the certificate may assist exporters, 
reexporters, and transferors with the process of resolving potential 
red flags regarding whether an item is subject to the EAR based on this 
paragraph (h). The model certificate provided by BIS contemplates 
signature by an official or designated employee of the certifying 
company and inclusion of all the information described in paragraph (b) 
of supplement no. 1 to this part. If the exporter, reexporter, or 
transferors has not obtained such a certification, due diligence needs 
to be conducted to determine if the items meets the scope in this 
paragraph (h). While this certificate is expected to be useful for a 
company to understand the application of the EAR to an item, BIS does 
not view this as the only step to be completed during a company's due 
diligence process. See supplement no. 1 to this part and supplement no. 
3 to part 732 of the EAR.
    (i) ``Supercomputer'' FDP rule. A foreign-produced item is subject 
to the EAR if it meets both the product scope in paragraph (i)(1) of 
this section and the country and end-use scope in paragraph (i)(2) of 
this section. See Sec.  744.23 of the EAR for license requirement, 
license review policy, and license exceptions applicable to foreign-
produced items that are subject to the EAR pursuant to this paragraph 
(i).
    (1) Product scope. The product scope applies if a foreign-produced 
item meets the conditions of either paragraph (i)(1)(i) or (ii) of this 
section.
    (i) ``Direct product'' of ``technology'' or ``software.'' The 
foreign-produced item meets the product scope of this paragraph 
(i)(1)(i) if the foreign-produced item is a ``direct product'' of 
``technology'' or ``software'' subject to the EAR and specified in ECCN 
3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 4D001, 4D993, 4D994, 4E001, 
4E992, 4E993, 5D001, 5D991, 5E001, 5E991, 5D002, or 5E002 of the CCL; 
or
    (ii) Product of a complete plant or `major component' of a plant 
that is a ``direct product.'' A foreign-produced item meets the product 
scope of this paragraph (i)(1)(ii) if the foreign-produced item is 
produced by any plant or `major component' of a plant that is located 
outside the United States, when the plant or `major component' of a 
plant, whether made in the United States or a foreign country, itself 
is a ``direct product'' of U.S.-origin ``technology'' or ``software'' 
that is specified in ECCN 3D001, 3D991, 3E001, 3E002, 3E003, 3E991, 
4D001, 4D994, 4E001, 4E992, 4E993, 5D001, 5D991, 5E001, 5E991, 5D002, 
or 5E002 of the CCL.
    (2) Country and end-use scope. A foreign-produced item meets the 
country and end-use scope of this paragraph (i)(2) if there is 
``knowledge'' that the foreign produced item will be:
    (i) Used in the design, ``development,'' ``production,'' operation, 
installation (including on-site installation), maintenance (checking), 
repair, overhaul, or refurbishing of, a ``supercomputer'' located in or 
destined to the PRC; or
    (ii) Incorporated into, or used in the ``development,'' or 
``production,'' of any ``part,'' ``component,'' or ``equipment'' that 
will be used in a ``supercomputer'' located in or destined to the PRC.

0
3. Effective on October 21, 2022, add supplement no. 1 to part 734 to 
read as follows:

Supplement No. 1 to Part 734--Model Certification for Purposes of 
Advanced Computing FDP Rule

    (a) General. This supplement is included in the EAR to assist 
exporters, reexporters, and transferors in determining whether the 
items being exported, reexported, or transferred (in-country) are 
subject to the EAR based on the advanced computing FDP rule under Sec.  
734.9(h). The model certificate provided by BIS in this supplement is 
not required under the EAR, but through its provision, the certificate 
may assist exporters, reexporters, and transferors with the process of 
resolving potential red flags regarding whether an item is subject to 
the EAR based on Sec.  734.9(h). The model certificate provided in this 
supplement by BIS contemplates signature by an official or designated 
employee of the certifying company and inclusion of all the information 
described in paragraph (b) of this supplement. Any certification relied 
on for this part must be retained pursuant to part 762 of the EAR.
    Obtaining the certification set forth in this supplement does not 
relieve exporters, reexporters, and transferors of their obligation to 
exercise due diligence in determining whether items are subject to the 
EAR, including by following the ``Know Your Customer'' guidance in 
supplement no. 3 to part 732 of the EAR.
    (b) Model Criteria. A certification meets the criteria described in 
this supplement if it contains at least the following information:
    (1) The certification must be signed by an organization official 
specifically authorized to certify the document as being accurate and 
complete. The undersigned certifies that the information herein 
supplied in response to this paragraph is complete and correct to the 
best of his/her knowledge. By signing the certification below, I attest 
that:
    (2) My organization is aware that the items, [INSERT A DESCRIPTION 
OF THE ITEMS], provided to this exporter, reexporter, or transferor, 
[INSERT NAME OF EXPORTER, REEXPORTER, OR TRANSFEROR], could be subject 
to the U.S. Export Administration Regulations (EAR) (15 CFR 730-774) if 
future transactions are within the destination scope of Sec.  
734.9(h)(2)(i) or (ii) and exported or reexported to or transferred 
within the People's Republic of China (China);
    (3) My organization has reviewed the criteria for the advanced 
computing Foreign Direct Product (FDP) rule under Sec.  734.9(h) and 
attests that from my organization's ``knowledge'' of the item, it would 
be subject to the EAR if the destination criteria are met in Sec.  
734.9(h)(2)(i) or (ii); and
    (4) My organization affirms its commitment to apply with all 
applicable requirements under the EAR.

[INSERT NAME(S) OF CONSIGNEE(S)]
[INSERT DATE(S) SIGNED]

    Note 1 to paragraph (b): When multiple consignees who form a 
network engaged in a production process (or other type of 
collaborative activity, such as joint development) will be receiving 
items under the EAR, a single model certification statement for 
multiple consignees may be used for any export, reexport, or 
transfer (in-country) under the EAR.

    (c) Additional Information. Because this is only a model 
certification, exporters, reexporters, or transferors may add 
additional elements to the certification and/or use it for multiple 
purposes as part of their compliance program. For example, if a company 
has ten affiliated companies in a multi-step supply chain, instead of 
obtaining a model certification for each export, reexport, or transfer 
(in-country), the initial exporter, reexporter, or transferor may get 
all ten parties to sign the certification, which may further reduce the 
burden on parties participating in the supply chain.

PART 736--GENERAL PROHIBITIONS

0
4. The authority citation for part 736 continues to read as follows:

    Authority:  50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50 
U.S.C. 1701 et seq.; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 
950; E.O. 13020, 61 FR 54079, 3 CFR, 1996 Comp., p. 219; E.O. 13026, 
61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 
CFR, 2001 Comp., p. 783; E.O. 13338, 69 FR 26751, 3 CFR, 2004 Comp., 
p. 168; Notice of November 10, 2021, 86 FR

[[Page 62198]]

62891 (November 12, 2021); Notice of May 9, 2022, 87 FR 28749 (May 
10, 2022).


0
5. Effective on October 21, 2022, supplement no. 1 to part 736 is 
amended by adding paragraph (d) to read as follows:

Supplement No. 1 to Part 736--General Orders

* * * * *
    (d) General Order No. 4: The purpose of this General Order is to 
avoid disruption of supply chains for items specified in paragraph 
(d)(1) of this supplement that are ultimately destined to customers 
outside of People's Republic of China (China).
    (1) Temporary General License (TGL). BIS authorizes, from October 
21, 2022, through April 7, 2023, exports, reexports, in-country 
transfers, and exports from abroad destined to or within China by 
companies not headquartered in Country Groups D:1 or D:5 or E (see 
supplement no. 1 to part 740 of the EAR) to continue or engage in 
integration, assembly (mounting), inspection, testing, quality 
assurance, and distribution of items covered by ECCN 3A090, 4A090, and 
associated software and technology in ECCN 3D001, 3E001, 4D090, or 
4E001; or any item that is a computer, integrated circuit, ``electronic 
assembly'' or ``component'' and associated software and technology, 
specified elsewhere on Commerce Control List (supplement no. 1 to part 
774 of the EAR), which meets or exceeds the performance parameters of 
ECCN 3A090 or 4A090. This does not authorize the export, reexport, in-
country transfer, or export from abroad to ``end-users'' or ``ultimate 
consignees'' in China. This TGL does not overcome the license 
requirements of Sec.  744.11 or Sec.  744.21 of the EAR when an entity 
listed in supplements no. 4 or 7 to part 744 is a party to the 
transaction as described in Sec.  748.5(c) through (f) of the EAR, or 
when there is knowledge of any other prohibited end use or end user. 
This TGL is only for companies that engage in the specific activities 
authorized under this TGL.
    (2) Recordkeeping requirement. Prior to any export, reexport, or 
transfer (in-country) to China pursuant to this TGL, the exporter, 
reexporter, or transferor, must retain the name of the entity receiving 
the item and the complete physical address of where the item is 
destined in China and the location of that company's headquarters.
* * * * *

PART 740--LICENSE EXCEPTIONS

0
6. The authority citation for part 740 continues to read as follows:

    Authority:  50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50 
U.S.C. 1701 et seq.; 22 U.S.C. 7201 et seq.; E.O. 13026, 61 FR 
58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 
2001 Comp., p. 783.


0
7. Effective on October 7, 2022, Sec.  740.2 is amended by adding 
paragraph (a)(9) to read as follows:


Sec.  740.2  Restrictions on all License Exceptions.

* * * * *
    (a) * * *
    (9) The item is identified in paragraph (a)(9)(i) of this section, 
being exported, reexported, or transferred (in-country) to or within 
the People's Republic of China (PRC), and the license exception is 
other than: RPL (excluding 3B090, 3D001 (for 3B090), and 3E001 (for 
3B090)), under the provisions of Sec.  740.10, including Sec.  
740.10(a)(3)(v), which prohibits exports and reexports of replacement 
parts to countries in Country Group E:1 (see supplement no. 1 to this 
part)); GOV, restricted to eligibility under the provisions of Sec.  
740.11(b)(2)(ii); or TSU (excluding 3B090, 3D001 (for 3B090), and 3E001 
(for 3B090)), under the provisions of Sec.  740.13(a) and (c). Items 
restricted to eligibility only for the foregoing license exceptions 
are:
    (i) Controlled under ECCNs 3B090, or associated software and 
technology in 3D001, or 3E001; or
    (ii) [Reserved]
* * * * *

0
8. Effective on October 21, 2022, Sec.  740.2 is further amended by 
revising paragraph (a)(9) to read as follows:


Sec.  740.2  Restrictions on all License Exceptions.

* * * * *
    (a) * * *
    (9) The item is identified in paragraphs (a)(9)(i) and (ii) of this 
section, being exported, reexported, or transferred (in-country) to or 
within the People's Republic of China (PRC), and the license exception 
is other than: RPL (excluding 3B090, 3D001 (for 3B090), and 3E001 (for 
3B090)), under the provisions of Sec.  740.10, including Sec.  
740.10(a)(3)(v), which prohibits exports and reexports of replacement 
parts to countries in Country Group E:1 (see supplement no. 1 to this 
part)); GOV, restricted to eligibility under the provisions of Sec.  
740.11(b)(2)(ii); or TSU (excluding 3B090, 3D001 (for 3B090), and 3E001 
(for 3B090)), under the provisions of Sec.  740.13(a) and (c). Items 
restricted to eligibility only for the foregoing license exceptions 
are:
    (i) Controlled under ECCNs 3A090, 3B090, 4A090, or associated 
software and technology in 3D001, 3E001, 4D090, and 4E001; or
    (ii) A computer, integrated circuit, ``electronic assembly'' or 
``component'' specified elsewhere on the CCL which meets or exceeds the 
performance parameters of ECCN 3A090 or 4A090.
* * * * *

0
9. Effective on October 7, 2022, Sec.  740.10 is amended by revising 
paragraph (c)(2) to read as follows:


Sec.  740.10  License Exception Servicing and replacement of parts and 
equipment (RPL).

* * * * *
    (c) * * *
    (2) Records maintained pursuant to this section may be requested at 
any time by an appropriate BIS official as set forth in Sec.  762.7 of 
the EAR. Records that must be included in the annual or semi-annual 
reports of exports and reexports of ``600 Series'' items under the 
authority of License Exception RPL are described in Sec. Sec.  743.4 
and 762.2(b) of the EAR.

PART 742--CONTROL POLICY--CCL BASED CONTROLS

0
10. The authority citation for part 742 continues to read as follows:

    Authority:  50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50 
U.S.C. 1701 et seq.; 22 U.S.C. 3201 et seq.; 42 U.S.C. 2139a; 22 
U.S.C. 7201 et seq.; 22 U.S.C. 7210; Sec. 1503, Pub. L. 108-11, 117 
Stat. 559; E.O. 12058, 43 FR 20947, 3 CFR, 1978 Comp., p. 179; E.O. 
12851, 58 FR 33181, 3 CFR, 1993 Comp., p. 608; E.O. 12938, 59 FR 
59099, 3 CFR, 1994 Comp., p. 950; E.O. 13026, 61 FR 58767, 3 CFR, 
1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 
783; Presidential Determination 2003-23, 68 FR 26459, 3 CFR, 2004 
Comp., p. 320; Notice of November 10, 2021, 86 FR 62891 (November 
12, 2021).


0
11. Effective on October 7, 2022, Sec.  742.6 is amended by adding 
paragraphs (a)(6) and (b)(10) to read as follows:


Sec.  742.6  Regional stability.

    (a) * * *
    (6) RS requirement that applies to the People's Republic of China 
(China) for semiconductor manufacturing items--(i) Exports, reexports, 
transfers (in-country). A license is required for items specified in 
ECCN 3B090 and associated software and technology in 3D001 (for 3B090), 
3E001 (for 3B090)) being exported, reexported, or transferred (in-
country) to or within the China.
    (ii) Deemed exports. The license requirements in this paragraph 
(a)(6) do not apply to deemed exports or deemed reexports.
* * * * *
    (b) * * *
    (10) Semiconductor manufacturing items when destined to China. 
There is

[[Page 62199]]

a presumption of denial for applications for items specified in 
paragraph (a)(6) of this section being exported, reexported, or 
transferred (in-country) to or within the China. See Sec.  
744.11(a)(2)(ii) of the EAR for license requirements, license review 
policy, and license exceptions applicable to specific entities. License 
applications for semiconductor manufacturing items, such as 
semiconductor equipment, destined to end users in China that are 
headquartered in the United States or in a country in Country Group A:5 
or A:6 will be considered on a case-by-case basis, taking into account 
factors including technology level, customers and compliance plans.
* * * * *

0
12. Effective on October 21, 2022, Sec.  742.6 is further amended by 
revising paragraphs (a)(6) and (b)(10) to read as follows:


Sec.  742.6  Regional stability.

    (a) * * *
    (6) RS requirement that applies to the People's Republic of China 
(China) for advanced computing and semiconductor manufacturing items--
(i) Exports, reexports, transfers (in-country). A license is required 
for items specified in ECCNs 3A090, 3B090, 4A090, 5A992 (that meet or 
exceed the performance parameters of ECCNs 3A090 or 4A090) and 
associated software and technology in 3D001 (for 3A090 or 3B090), 3E001 
(for 3A090 or 3B090), 3B090, or 3D001 (for 3A090 or 3B090), 4D090, 
4E001 (for 4A090 and 4D090), and 5D992 (that meet or exceed the 
performance parameters of ECCNs 3A090 or 4A090) being exported, 
reexported, or transferred (in-country) to or within the China. A 
license is also required for the export from the China to any 
destination worldwide of 3E001 (for 3A090) technology developed by an 
entity headquartered in the China that is the direct product of 
software subject to the EAR and is for the ``production'' of 
commodities identified in ECCNs 3A090, 4A090, or identified elsewhere 
on the CCL that meet or exceed the performance parameters of ECCNs 
3A090 or 4A090, consistent with Sec.  734.9(h)(1)(i)(B)(1) and 
(h)(2)(ii) of the EAR.
    (ii) Deemed exports. The license requirements in this paragraph 
(a)(6) do not apply to deemed exports or deemed reexports.
* * * * *
    (b) * * *
    (10) Advanced computing and semiconductor manufacturing items when 
destined to China. There is a presumption of denial for applications 
for items specified in paragraph (a)(6) of this section being exported, 
reexported, or transferred (in-country) to or within the China. See 
Sec.  744.11(a)(2)(ii) of the EAR for license requirements, license 
review policy, and license exceptions applicable to specific entities. 
License applications for semiconductor manufacturing items, such as 
semiconductor equipment, destined to end users in China that are 
headquartered in the United States or in a country in Country Group A:5 
or A:6 will be considered on a case-by-case basis, taking into account 
factors including technology level, customers and compliance plans.
* * * * *

PART 744--END-USE AND END-USER CONTROLS

0
13. The authority citation for part 744 continues to read as follows:

    Authority:  50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50 
U.S.C. 1701 et seq.; 22 U.S.C. 3201 et seq.; 42 U.S.C. 2139a; 22 
U.S.C. 7201 et seq.; 22 U.S.C. 7210; E.O. 12058, 43 FR 20947, 3 CFR, 
1978 Comp., p. 179; E.O. 12851, 58 FR 33181, 3 CFR, 1993 Comp., p. 
608; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13026, 
61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13099, 63 FR 45167, 3 
CFR, 1998 Comp., p. 208; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., 
p. 783; E.O. 13224, 66 FR 49079, 3 CFR, 2001 Comp., p. 786; Notice 
of November 10, 2021, 86 FR 62891 (November 12, 2021); Notice of 
September 19, 2022, 87 FR 57569 (September 19, 2022).


0
14. Effective on October 21, 2022, Sec.  744.1 is amended by adding a 
sentence at the end of paragraph (a)(1) to read as follows:


Sec.  744.1  General provisions.

    (a)(1) * * * Section 744.23 sets forth restrictions on exports, 
reexports, and transfers (in-country) for certain ``supercomputer'' and 
semiconductor manufacturing end use.
* * * * *

0
15. Effective on October 12, 2022, Sec.  744.6 is amended by revising 
paragraphs (c) and (d) and adding paragraph (e)(3) to read as follows:


Sec.  744.6  Restrictions on specific activities of ``U.S. persons.''

* * * * *
    (c) Additional prohibitions on ``U.S. persons'' informed by BIS. 
(1) BIS may inform ``U.S. persons,'' either individually by specific 
notice, through amendment to the EAR published in the Federal Register, 
or through a separate notice published in the Federal Register, that a 
license is required because an activity could involve the types of 
`support' (as defined in paragraph (b)(6) of this section) to the end 
uses or end users described in paragraphs (b)(1) through (5) of this 
section. Specific notice is to be given only by, or at the direction 
of, the Deputy Assistant Secretary for Export Administration. When such 
notice is provided orally, it will be followed by a written notice 
within two working days signed by the Deputy Assistant Secretary for 
Export Administration. However, the absence of any such notification 
does not excuse the ``U.S. person'' from compliance with the license 
requirements of paragraph (b) of this section.
    (2) Consistent with paragraph (c)(1) of this section, BIS is hereby 
informing ``U.S. persons'' that a license is required for the following 
activities, which could involve `support' for the weapons of mass 
destruction-related end uses set forth in paragraph (b) of this 
section.
    (i) Shipping, transmitting, or transferring (in-country) to or 
within the PRC any item not subject to the EAR that you know will be 
used in the ``development'' or ``production'' of integrated circuits at 
a semiconductor fabrication ``facility'' located in the PRC that 
fabricates integrated circuits meeting any of the following criteria:
    (A) Logic integrated circuits using a non-planar architecture or 
with a ``production'' technology node of 16/14 nanometers or less;
    (B) NOT-AND (NAND) memory integrated circuits with 128 layers or 
more; or
    (C) Dynamic random-access memory (DRAM) integrated circuits using a 
``production'' technology node of 18 nanometer half-pitch or less; or
    (ii) Facilitating the shipment, transmission, or transfer (in-
country) of any item not subject to the EAR that you know will be used 
in the ``development'' or ``production'' of integrated circuits at a 
semiconductor fabrication ``facility'' located in the PRC that 
fabricates integrated circuits that meet any of the criteria in 
paragraphs (c)(2)(i)(A) through (C) of this section;
    (iii) Servicing any item not subject to the EAR that you know will 
be used in the ``development'' or ``production'' of integrated circuits 
at a semiconductor fabrication ``facility'' located in the PRC that 
fabricates integrated circuits that meet any of the criteria in 
paragraphs (c)(2)(i)(A) through (C) of this section;
    (iv) Shipping, transmitting, or transferring (in-country) to or 
within the PRC any item not subject to the EAR and meeting the 
parameters of any ECCN in Product Groups B, C, D, or E in Category 3 of 
the CCL that you know will be used in the ``development'' or 
``production'' of integrated circuits at

[[Page 62200]]

any semiconductor fabrication ``facility'' located in the PRC, but you 
do not know whether such semiconductor fabrication ``facility'' 
fabricates integrated circuits that meet any of the criteria in 
paragraphs (c)(2)(i)(A) through (C) of this section;
    (v) Facilitating the shipment, transmission, or transfer (in-
country) to or within the PRC of any item not subject to the EAR and 
meeting the parameters of any ECCN in Product Groups B, C, D, or E in 
Category 3 of the CCL that you know will be used in the ``development'' 
or ``production,'' of integrated circuits at any semiconductor 
fabrication ``facility'' located in the PRC, but you do not know 
whether such semiconductor fabrication ``facility'' fabricates 
integrated circuits that meet any of the criteria in paragraphs 
(c)(2)(i)(A) through (C) of this section;
    (vi) Servicing any item not subject to the EAR and meeting the 
parameters of any ECCN in Product Groups B, C, D, or E in Category 3 of 
the CCL that you know will be used in the ``development'' or 
``production'' of integrated circuits at any semiconductor fabrication 
``facility'' located in the PRC, but you do not know whether such 
semiconductor fabrication ``facility'' fabricates integrated circuits 
that meet any of the criteria in paragraphs (c)(2)(i)(A) through (C) of 
this section;
    (vii) Shipping, transmitting, or transferring (in-country) to or 
within the PRC any item not subject to the EAR and meeting the 
parameters of ECCN 3B090, 3D001 (for 3B090), or 3E001 (for 3B090) 
regardless of end use or end user;
    (viii) Facilitating the shipment, transmission, or transfer (in-
country) to or within the PRC of any item not subject to the EAR and 
meeting the parameters of ECCN 3B090, 3D001 (for 3B090), or 3E001 (for 
3B090), regardless of end use or end user; or
    (ix) Servicing any item not subject to the EAR located in the PRC 
and meeting the parameters of ECCN 3B090, 3D001 (for 3B090), or 3E001 
(for 3B090), regardless of end use or end user.
    (d) Exceptions. (1) No License Exceptions apply to the prohibitions 
described in paragraphs (b)(1) through (4) and (c)(2)(i) through (vi) 
of this section.
    (2) Notwithstanding the prohibitions in paragraphs (b)(5) and 
(c)(2)(vii) through (ix) of this section, ``U.S. persons'' who are 
employees of a department or agency of the U.S. Government may 
`support' a `military-intelligence end use' or a `military-intelligence 
end user,' as described in paragraph (b)(5) of this section, or engage 
in the activities described in paragraphs (c)(2)(vii) through (ix) of 
this section, if the `support' is provided in the performance of 
official duties in furtherance of a U.S. Government program that is 
authorized by law and subject to control by the President by other 
means. This paragraph (d)(2) does not authorize a department or agency 
of the U.S. Government to provide `support' that is otherwise 
prohibited by other administrative provisions or by statute. 
`Contractor support personnel' of a department or agency of the U.S. 
Government are eligible for this authorization when in the performance 
of their duties pursuant to the applicable contract or other official 
duties. `Contractor support personnel' for the purposes of this 
paragraph (d)(2) has the same meaning given to that term in Sec.  
740.11(b)(2)(ii) of the EAR. This authorization is not available when a 
department or agency of the U.S. Government acts as an agent on behalf 
of a non-U.S. Government person.
    (e) * * *
    (3) Applications for licenses submitted pursuant to the notice of a 
license requirement set forth in paragraph (c)(2) of this section will 
be reviewed with a presumption of denial, except for end users in the 
PRC headquartered in the United States or a country in Country Group 
A:5 or A:6, which will be considered on a case-by-case basis taking 
into account factors including technology level, customers, and 
compliance plans.

0
16. Effective on October 21, 2022, Sec.  744.11 is amended by revising 
paragraph (a)(2) to read as follows:


Sec.  744.11  License requirements that apply to entities acting or at 
significant risk of acting contrary to the national security or foreign 
policy interests of the United States.

* * * * *
    (a) * * *
    (2) Entity List foreign-``direct product'' (FDP) license 
requirements, review policy, and license exceptions--(i) Footnote 1 
entities. You may not, without a license or license exception, 
reexport, export from abroad, or transfer (in-country) any foreign-
produced item subject to the EAR pursuant to Sec.  734.9(e)(1)(i) of 
the EAR when an entity designated with footnote 1 on the Entity List in 
supplement. no. 4 to this part is a party to the transaction. All 
license exceptions described in part 740 of the EAR are available for 
foreign-produced items that are subject to this license requirement if 
all terms and conditions of the applicable license exception are met 
and the restrictions in Sec.  740.2 of this EAR do not apply. The 
sophistication and capabilities of technology in items is a factor in 
license application review; license applications for foreign-produced 
items subject to a license requirement by this paragraph (a)(2) that 
are capable of supporting the ``development'' or ``production'' of 
telecom systems, equipment, and devices below the 5G level (e.g., 4G, 
3G) will be reviewed on a case-by-case basis.
    (ii) Footnote 4 entities. You may not, without a license, reexport, 
export from abroad, or transfer (in-country) any foreign-produced item 
subject to the EAR pursuant to Sec.  734.9(e)(2) of the EAR when an 
entity designated with footnote 4 on the Entity List in supp. no. 4 to 
this part is a party to the transaction, or that will be used in the 
``development'' or ``production'' of any ``part,'' ``component,'' or 
``equipment'' produced, purchased, or ordered by any such entity. See 
Sec.  744.23 for additional license requirements that may apply to 
these entities. The license review policy for foreign-produced items 
subject to this license requirement is set forth in the entry in 
supplement no. 4 to this part for each entity with a footnote 4 
designation.
* * * * *

0
17. Effective on October 7, 2022, add Sec.  744.23 to read as follows:


Sec.  744.23  Semiconductor manufacturing end use.

    (a) General prohibition. In addition to the license requirements 
for items specified on the CCL, you may not export, reexport, or 
transfer (in-country) without a license any item subject to the EAR 
meeting the product scope in paragraph (a)(1) of this section when you 
have ``knowledge'' at the time of export, reexport, or transfer (in-
country) that the item is destined for the end-use described in 
paragraph (a)(2) of this section.
    (1) Product scope. Any of the following items meet the product 
scope of the prohibition in this section:
    (i)-(ii) [Reserved]
    (iii) Any item subject to the EAR when you know the items will be 
used in an end use described in paragraphs (a)(2)(iii)(A) through (C) 
of this section;
    (iv) Any item subject to the EAR and classified in an ECCN in 
Product Groups B, C, D, or E in Category 3 of the CCL when you know the 
items will be used in an end use described in paragraph (a)(2)(iv) of 
this section; or
    (v) Any item subject to the EAR when you know the item will be used 
in an end use described in paragraph (a)(2)(v) of this section.
    (2) End-use scope. The following activities meet the end-use scope 
of the prohibition in this section:
    (i)-(ii) [Reserved]

[[Page 62201]]

    (iii) The ``development'' or ``production'' of integrated circuits 
at a semiconductor fabrication ``facility'' located in the PRC that 
fabricates integrated circuits meeting any of the following criteria:
    (A) Logic integrated circuits using a non-planar transistor 
architecture or with a ``production'' technology node of 16/14 
nanometers or less;
    (B) NOT AND (NAND) memory integrated circuits with 128 layers or 
more; or
    (C) Dynamic random-access memory (DRAM) integrated circuits using a 
``production'' technology node of 18 nanometer half-pitch or less; or
    (iv) The ``development'' or ``production'' of integrated circuits 
at any semiconductor fabrication ``facility'' located in the PRC, but 
you do not know whether such semiconductor fabrication ``facility'' 
fabricates integrated circuits that meet any of the criteria in 
paragraphs (a)(2)(iii)(A) through (C) of this section.
    (v) The ``development'' or ``production'' in the PRC of any 
``parts,'' ``components'' or ``equipment'' specified under ECCN 3B001, 
3B002, 3B090, 3B611, 3B991, or 3B992.
    (b) Additional prohibition on persons informed by BIS. BIS may 
inform persons, either individually by specific notice or through 
amendment to the EAR published in the Federal Register, that a license 
is required for a specific export, reexport, or transfer (in-country) 
of any item subject to the EAR to a certain end-user, because there is 
an unacceptable risk of use in, or diversion to, the activities 
specified in paragraph (a)(1) of this section. Specific notice is to be 
given only by, or at the direction of, the Deputy Assistant Secretary 
for Export Administration. When such notice is provided orally, it will 
be followed by a written notice within two working days signed by the 
Deputy Assistant Secretary for Export Administration or the Deputy 
Assistant Secretary's designee. However, the absence of any such 
notification does not excuse persons from compliance with the license 
requirements of paragraph (a) of this section.
    (c) License exceptions. No license exceptions may overcome the 
prohibition described in paragraph (a) of this section.
    (d) License review standards. There is a presumption of denial for 
applications to export, reexport, or transfer (in-country) items 
described in paragraph (a)(1) of this section that are for end uses 
described in paragraph (a)(2) of this section, except for items 
controlled under paragraph (a)(2)(iii) of this section for end users in 
China that are headquartered in the United States or in a Country Group 
A:5 or A:6 country, which will be considered on a case-by-case basis 
taking into account factors including technology level, customers, and 
compliance plans.

0
18. Effective on October 21, 2022, revise Sec.  744.23 to read as 
follows:


Sec.  744.23  ``Supercomputer'' and semiconductor manufacturing end 
use.

    (a) General prohibition. In addition to the license requirements 
for items specified on the CCL, you may not export, reexport, or 
transfer (in-country) without a license any item subject to the EAR 
meeting the product scope in paragraph (a)(1) of this section when you 
have ``knowledge'' at the time of export, reexport, or transfer (in-
country) that the item is destined for the end-use described in 
paragraph (a)(2) of this section.
    (1) Product scope. Any of the following items meet the product 
scope of the prohibition in this section:
    (i) An integrated circuit (IC) subject to the EAR and specified in 
ECCN 3A001, 3A991, 4A994, 5A002, 5A004, or 5A992 when you know the item 
will be used in an end use described under paragraph (a)(2)(i) or (ii) 
of this section;
    (ii) A computer, ``electronic assembly,'' or ``component'' subject 
to the EAR and specified in ECCN 4A003, 4A004, 4A994, 5A002, 5A004, or 
5A992 when you know the item will be used in an end use described under 
paragraph (a)(2)(i) or (ii) of this section;
    (iii) Any items subject to the EAR when you know the items will be 
used in an end use described in paragraphs (a)(2)(iii)(A) through (C) 
of this section;
    (iv) Any items subject to the EAR and classified in an ECCN in 
Product Groups B, C, D, or E in Category 3 of the CCL when you know the 
items will be used in an end use described in paragraph (a)(2)(iv) of 
this section; or
    (v) Any item subject to the EAR when you know the item will be used 
in an end use described in paragraph (a)(2)(v) of this section.
    (2) End-use scope. The following activities meet the end-use scope 
of the prohibition in this section:
    (i) The ``development,'' ``production,'' ``use,'' operation, 
installation (including on-site installation), maintenance (checking), 
repair, overhaul, or refurbishing of a ``supercomputer'' located in or 
destined to the PRC;
    (ii) The incorporation into, or the ``development'' or 
``production'' of any ``component'' or ``equipment'' that will be used 
in a ``supercomputer'' located in or destined to the PRC; or
    (iii) The ``development'' or ``production,'' of integrated circuits 
at a semiconductor fabrication ``facility'' located in the PRC that 
fabricates integrated circuits meeting any of the following criteria:
    (A) Logic integrated circuits using a non-planar transistor 
architecture or with a ``production'' technology node of 16/14 
nanometers or less;
    (B) NOT AND (NAND) memory integrated circuits with 128 layers or 
more; or
    (C) Dynamic random-access memory (DRAM) integrated circuits using a 
``production'' technology node of 18 nanometer half-pitch or less; or
    (iv) The ``development'' or ``production'' of integrated circuits 
at any semiconductor fabrication ``facility'' located in the PRC, but 
you do not know whether such semiconductor fabrication ``facility'' 
fabricates integrated circuits that meet any of the criteria in 
paragraphs (a)(2)(iii)(A) through (C) of this section; or
    (v) The ``development'' or ``production'' in the PRC of any 
``parts,'' ``components,'' or ``equipment'' specified under ECCN 3B001, 
3B002, 3B090, 3B611, 3B991, or 3B992.
    (b) Additional prohibition on persons informed by BIS. BIS may 
inform persons, either individually by specific notice or through 
amendment to the EAR published in the Federal Register, that a license 
is required for a specific export, reexport, or transfer (in-country) 
of any item subject to the EAR to a certain end-user, because there is 
an unacceptable risk of use in, or diversion to, the activities 
specified in paragraph (a)(2) of this section. Specific notice is to be 
given only by, or at the direction of, the Deputy Assistant Secretary 
for Export Administration. When such notice is provided orally, it will 
be followed by a written notice within two working days signed by the 
Deputy Assistant Secretary for Export Administration or the Deputy 
Assistant Secretary's designee. However, the absence of any such 
notification does not excuse persons from compliance with the license 
requirements of paragraph (a) of this section.
    (c) License exceptions. No license exceptions may overcome the 
prohibition described in paragraph (a) of this section.
    (d) License review standards. There is a presumption of denial for 
applications to export, reexport, or transfer (in-country) items 
described in paragraph (a)(1) of this section that are for end uses 
described in paragraph (a)(2) of this section, except for items 
controlled under paragraph (a)(2)(iii) of this section for end users in 
China that are headquartered in the United States or in a Country Group 
A:5 or A:6 country,

[[Page 62202]]

which will be considered on a case-by-case basis taking into account 
factors including technology level, customers and compliance plans.

0
19. Effective on October 21, 2022, supplement no. 4 is amended by:
0
a. Revising Under CHINA the entries for ``Beijing Institute of 
Technology,'' ``Beijing Sensetime Technology Development Co., Ltd.,'' 
``Changsha Jingjia Microelectronics Co., Ltd.,'' ``Chengdu Haiguang 
Integrated Circuit,'' ``Chengdu Haiguang Microelectronics Technology,'' 
``China Aerospace Science and Technology Corporation (CASC) 9th Academy 
772 Research Institute,'' ``Dahua Technology,'' ``Harbin institute of 
Technology,'' ``Higon,'' ``IFLYTEK,'' ``Intellifusion,'' ``Megvii 
Technology,'' ``National Supercomputing Center Changsha (NSCC-CS),'' 
``National Supercomputing Center Guangzhou (NSCC-GZ),'' ``National 
Supercomputing Center Jinan,'' ``National Supercomputing Center 
Shenzhen,'' ``National Supercomputing Center Tianjin (NSCC-TJ),'' 
``National Supercomputing Center Wuxi,'' ``National Supercomputer 
Center Zhengzhou,'' ``National University of Defense Technology 
(NUDT),'' ``New H3C Semiconductor Technologies Co., Ltd.,'' 
``Northwestern Polytechnical University,'' ``Shanghai High-Performance 
Integrated Circuit Design Center,'' ``Sugon,'' ``Sunway 
Microelectronics,'' ``Tianjin Phytium Information Technology,'' ``Wuxi 
Jiangnan Institute of Computing Technology,'' and ``Yitu 
Technologies''; and
0
b. Revising footnote 1 and adding footnote 4.

    The revisions and addition read as follows:

Supplement No. 4 to Part 744--Entity List

* * * * *

----------------------------------------------------------------------------------------------------------------
                                                             License         License review     Federal Register
        Country                     Entity                 requirement           policy             citation
----------------------------------------------------------------------------------------------------------------
 
                                                  * * * * * * *
CHINA, PEOPLE'S                                                 * * * * * *
 REPUBLIC OF.
                         Beijing Institute of          For all items       Presumption of      85 FR 83420, 12/
                          Technology, No. 5 South       subject to the      denial.             22/20.
                          Zhongguancun Street,          EAR. (See Sec.                         87 FR [INSERT FR
                          Haidian District, Beijing,    Sec.   734.9(e)                         PAGE NUMBER, 10/
                          China.                        and 744.11 of the                       13/22.
                                                        EAR) \4\.
                                                                * * * * * *
                         Beijing Sensetime Technology  For all items       Case-by-case        84 FR 54004, 10/9/
                          Development Co., Ltd.,        subject to the      review for ECCNs    19.
                          a.k.a., the following two     EAR. (See Sec.      1A004.c, 1A004.d,  85 FR 34505, 6/5/
                          aliases:                      Sec.   734.9(e)     1A995, 1A999.a,     20.
                         --Beijing Shangtang            and 744.11 of the   1D003, 2A983,      85 FR 44159, 7/22/
                          Technology Development Co.,   EAR) \4\.           2D983, and 2E983,   20.
                          Ltd.; and                                         and for EAR99      87 FR [INSERT FR
                         --Sense Time.                                      items described     PAGE NUMBER, 10/
                         5F Block B, Science and                            in the Note to      13/22.
                          Technology Building, Tsing-                       ECCN 1A995; case-
                          hua Science Park, Haidian                         by-case review
                          District, Beijing, China.                         for items
                                                                            necessary to
                                                                            detect, identify
                                                                            and treat
                                                                            infectious
                                                                            disease; and
                                                                            presumption of
                                                                            denial for all
                                                                            other items
                                                                            subject to the
                                                                            EAR.
                                                                * * * * * *
                         Changsha Jingjia              For all items       Presumption of      86 FR 71560, 12/
                          Microelectronics Co.,         subject to the      denial.             17/21.
                          Ltd.,902, Building B1, Lugu   EAR. (See Sec.                         87 FR [INSERT FR
                          Science and Technology        Sec.   734.9(e)                         PAGE NUMBER, 10/
                          Innovation Pioneer Park,      and 744.11 of the                       13/22.
                          1698 Yuelu West Ave.,         EAR) \4\.
                          Changsha High-tech
                          Development Zone; and
                          Building 3, Changsha
                          Productivity Promotion
                          Center, No. 2, Lujing Rd.,
                          Yuelu District, Changsha
                          City, Hunan Province; and
                          No. 1, Meixihu Road, Yuelu
                          District, Changsha City,
                          Hunan Province, 410221; and
                          Room 1501, Aipu Building,
                          395 Xinshi North Road,
                          Shijiazhuang City, Hebei
                          Province, China.
                                                                * * * * * *
                         Chengdu Haiguang Integrated   For all items       Presumption of      84 FR 29373, 6/24/
                          Circuit, a.k.a., the          subject to the      denial.             19.
                          following two aliases:        EAR. (See Sec.                         87 FR [INSERT FR
                         --Hygon; and                   Sec.   734.9(e)                         PAGE NUMBER, 10/
                         --Chengdu Haiguang Jincheng    and 744.11 of the                       13/22.
                          Dianlu Sheji.                 EAR) \4\.
                         China (Sichuan) Free Trade
                          Zone, No. 22-31, 11th
                          Floor, E5, Tianfu Software
                          Park, No. 1366, Middle
                          Section of Tianfu Avenue,
                          Chengdu High-tech Zone,
                          Chengdu, China.

[[Page 62203]]

 
                         Chengdu Haiguang              For all items       Presumption of      84 FR 29373, 6/24/
                          Microelectronics              subject to the      denial.             19.
                          Technology, a.k.a., the       EAR. (See Sec.                         87 FR [INSERT FR
                          following two aliases:        Sec.   734.9(e)                         PAGE NUMBER, 10/
                         --HMC; and                     and 744.11 of the                       13/22.
                         --Chengdu Haiguang Wei         EAR) \4\.
                          Dianzi Jishu.
                         China (Sichuan) Free Trade
                          Zone, No. 23-32, 12th
                          Floor, E5, Tianfu Software
                          Park, No. 1366, Middle
                          Section of Tianfu Avenue,
                          Chengdu High-tech Zone,
                          Chengdu, China.
                                                                * * * * * *
                         China Aerospace Science and   For all items       Presumption of      87 FR 51877, 8/24/
                          Technology Corporation        subject to the      denial.             22.
                          (CASC) 9th Academy 772        EAR. (See Sec.                         87 FR [INSERT FR
                          Research Institute, a.k.a.,   Sec.   734.9(e)                         PAGE NUMBER, 10/
                          the following four aliases:   and 744.11 of the                       13/22.
                         --772 Research Institute;      EAR) \4\.
                         --Beijing Institute of
                          Microelectronics
                          Technology;
                         --Beijing Microelectronics
                          Technology Institute; and
                         --BMTI.
                         No. 2, Siyingmen North Road,
                          Donggaodi, Fengtai
                          District, Beijing, China.
                                                                * * * * * *
                         Dahua Technology, 807, Block  For all items       Presumption of      84 FR 54004, 10/9/
                          A, Meike Building No. 506,    subject to the      denial.             19.
                          Beijing South Road, New       EAR. (See Sec.                         85 FR 44159, 7/22/
                          City, Urumqi, Xinjiang,       Sec.   734.9(e)                         20.
                          China; 1199 Bin'an Road,      and 744.11 of the                      87 FR [INSERT FR
                          Binjiang High-tech Zone,      EAR) \4\.                               PAGE NUMBER, 10/
                          Hangzhou, China; and 6/F,                                             13/22.
                          Block A, Dacheng Erya,
                          Huizhan Avenue, Urumqi,
                          China; and No. 1187, Bin'an
                          Road, Binjiang District,
                          Hangzhou City, Zhejiang
                          Province, China.
                                                                * * * * * *
                         Harbin Institute of           For all items       Presumption of      85 FR 34497, 6/5/
                          Technology, No. 92 Xidazhi    subject to the      denial.             20.
                          Street, Nangang District,     EAR. (See Sec.                         87 FR [INSERT FR
                          Harbin, Heilongjiang,         Sec.   734.9(e)                         PAGE NUMBER, 10/
                          China; and No. 92 West        and 744.11 of the                       13/22.
                          Dazhi Street, Nangang         EAR) \4\.
                          District, Harbin,
                          Heilongjiang, China; and
                          No. 2 West Wenhua Road,
                          Weihai, Shandong, China;
                          and Pingshan 1st Road,
                          Shenzhen, Guangdong, China.
                                                                * * * * * *
                         Higon, a.k.a., the following  For all items       Presumption of      84 FR 29373, 6/24/
                          five aliases:                 subject to the      denial.             19.
                         --Higon Information            EAR. (See Sec.                         87 FR [INSERT FR
                          Technology;                   Sec.   734.9(e)                         PAGE NUMBER, 10/
                         --Haiguang Xinxi Jishu         and 744.11 of the                       13/22.
                          Youxian Gongsi;               EAR) \4\.
                         --THATIC;
                         --Tianjing Haiguang Advanced
                          Technology Investment; and
                         --Tianjing Haiguang Xianjin
                          Jishu Touzi Youxian Gongsi.
                         Industrial Incubation-3-8,
                          North 2-204, 18 Haitai West
                          Road, Huayuan Industrial
                          Zone, Tianjin, China.
                                                                * * * * * *

[[Page 62204]]

 
                         IFLYTEK, National             For all items       Case-by-case        84 FR 54004, 10/9/
                          Intelligent Speech High-      subject to the      review for ECCNs    19.
                          tech Industrialization        EAR. (See Sec.      1A004.c, 1A004.d,  85 FR 44159, 7/22/
                          Base, No. 666, Wangjiang      Sec.   734.9(e)     1A995, 1A999.a,     20.
                          Road West, Hefei City,        and 744.11 of the   1D003, 2A983,      87 FR [INSERT FR
                          Anhui Province, China.        EAR) \4\.           2D983, and 2E983,   PAGE NUMBER, 10/
                                                                            and for EAR99       13/22.
                                                                            items described
                                                                            in the Note to
                                                                            ECCN 1A995; case-
                                                                            by-case review
                                                                            for items
                                                                            necessary to
                                                                            detect, identify
                                                                            and treat
                                                                            infectious
                                                                            disease; and
                                                                            presumption of
                                                                            denial for all
                                                                            other items
                                                                            subject to the
                                                                            EAR.
                                                                * * * * * *
                         Intellifusion, a.k.a., the    For all items       Case-by-case        85 FR 34505, 6/5/
                          following two aliases:        subject to the      review for ECCNs    20.
                         --Shenzhen Yuntian Lifei       EAR. (See Sec.      1A004.c, 1A004.d,  85 FR 44159, 7/22/
                          Technology Co., Ltd.;         Sec.   734.9(e)     1A995, 1A999.a,     20.
                         --Yuntian Lifei.               and 744.11 of the   1D003, 2A983,      87 FR [INSERT FR
                         1st Floor, Building 17,        EAR) \4\.           2D983, and 2E983,   PAGE NUMBER, 10/
                          Shenzhen Dayun Software                           and for EAR99       13/22.
                          Town, 8288 Longgang Avenue,                       items described
                          Yuanshan District, Longgang                       in the Note to
                          District, Shenzhen, China.                        ECCN 1A995; case-
                                                                            by-case review
                                                                            for items
                                                                            necessary to
                                                                            detect, identify
                                                                            and treat
                                                                            infectious
                                                                            disease; and
                                                                            presumption of
                                                                            denial for all
                                                                            other items
                                                                            subject to the
                                                                            EAR.
                                                                * * * * * *
                         Megvii Technology,            For all items       Case-by-case        84 FR 54004, 10/9/
                         3rd Floor, Block A, Rongke     subject to the      review for ECCNs    19.
                          Information Center, No. 2     EAR. (See Sec.      1A004.c, 1A004.d,  85 FR 44159, 7/22/
                          South Road, Haidian           Sec.   734.9(e)     1A995, 1A999.a,     20.
                          District, Beijing, China;     and 744.11 of the   1D003, 2A983,      87 FR [INSERT FR
                          and Floor 3rd Unit A Raycom   EAR) \4\.           2D983, and 2E983,   PAGE NUMBER, 10/
                          Infotech Park, No 2                               and for EAR99       13/22.
                          Kexueyuan, Beijing, China.                        items described
                                                                            in the Note to
                                                                            ECCN 1A995; case-
                                                                            by-case review
                                                                            for items
                                                                            necessary to
                                                                            detect, identify
                                                                            and treat
                                                                            infectious
                                                                            disease; and
                                                                            presumption of
                                                                            denial for all
                                                                            other items
                                                                            subject to the
                                                                            EAR.
                                                                * * * * * *
                         National Supercomputing       For all items       Presumption of      80 FR 8527, 2/18/
                          Center Changsha (NSCC-CS),    subject to the      denial.             15.
                         Changsha City, Hunan           EAR. (See Sec.                         87 FR [INSERT FR
                          Province, China.              Sec.   734.9(e)                         PAGE NUMBER, 10/
                                                        and 744.11 of the                       13/22.
                                                        EAR) \4\.
                         National Supercomputing       For all items       Presumption of      80 FR 8527, 2/18/
                          Center Guangzhou (NSCC-GZ),   subject to the      denial.             15.
                         Sun Yat-Sen University,        EAR. (See Sec.                         87 FR [INSERT FR
                          University City, Guangzhou,   Sec.   734.9(e)                         PAGE NUMBER, 10/
                          China.                        and 744.11 of the                       13/22.
                                                        EAR) \4\.
                         National Supercomputing       For all items       Presumption of      86 FR 18438, 4/9/
                          Center Jinan, a.k.a., the     subject to the      denial.             21.
                          following two aliases:        EAR. (See Sec.                         87 FR [INSERT FR
                         --Shandong Computing Center;   Sec.   734.9(e)                         PAGE NUMBER, 10/
                          and                           and 744.11 of the                       13/22.
                         --NSCC-JN.                     EAR) \4\.
                         No. 1768, Xinluo Street,
                          High-tech Development Zone,
                          Jinan City, Shandong
                          Province, China.

[[Page 62205]]

 
                         National Supercomputing       For all items       Presumption of      86 FR 18438, 4/9/
                          Center Shenzhen, a.k.a.,      subject to the      denial.             21.
                          the following three           EAR. (See Sec.                         87 FR [INSERT FR
                          aliases:                      Sec.   734.9(e)                         PAGE NUMBER, 10/
                         --The National                 and 744.11 of the                       13/22.
                          Supercomputing Shenzhen       EAR) \4\.
                          Center;
                         --Shenzhen Cloud Computing
                          Center; and
                         --NSCC-SZ.
                         No. 9 Duxue Road, University
                          Town Community, Taoyuan
                          Street, Nanshan District,
                          Shenzhen, China.
                         National Supercomputing       For all items       Presumption of      80 FR 8527, 2/18/
                          Center Tianjin (NSCC-TJ),     subject to the      denial.             15.
                         7th Street, Binhai New Area,   EAR. (See Sec.                         87 FR [INSERT FR
                          Tianjin, China.               Sec.   734.9(e)                         PAGE NUMBER, 10/
                                                        and 744.11 of the                       13/22.
                                                        EAR) \4\.
                         National Supercomputing       For all items       Presumption of      86 FR 18438, 4/9/
                          Center Wuxi, a.k.a., the      subject to the      denial.             21.
                          following one alias:          EAR. (See Sec.                         87 FR [INSERT FR
                         --NSCC-WX.                     Sec.   734.9(e)                         PAGE NUMBER, 10/
                         No. 1, Yinbai Road, Binhu      and 744.11 of the                       13/22.
                          District, Wuxi City, China.   EAR) \4\.
                         National Supercomputer        For all items       Presumption of      86 FR 18438, 4/9/
                          Center Zhengzhou, a.k.a.,     subject to the      denial.             21.
                          the following one alias:      EAR. (See Sec.                         87 FR [INSERT FR
                         --NSCC-ZZ.                     Sec.   734.9(e)                         PAGE NUMBER, 10/
                         Southeast of the               and 744.11 of the                       13/22.
                          intersection of Fengyang      EAR) \4\.
                          Street and Changchun Road,
                          Zhongyuan District,
                          Zhengzhou City, China; and
                         1st Floor, Building 18,
                          Zhengzhou University (South
                          Campus), Zhengzhou City,
                          China; and
                         Room 213, Institute of Drug
                          Research, Zhengzhou
                          University, Changchun Road,
                          High-tech Zone, Zhengzhou
                          City, China.
                         National University of        For all items       Presumption of      80 FR 8527, 2/18/
                          Defense Technology (NUDT),    subject to the      denial.             15.
                          a.k.a., the following three   EAR. (See Sec.                         84 FR 29373, 6/24/
                          aliases:                      Sec.   734.9(e)                         19,
                         --Central South CAD Center;    and 744.11 of the                      87 FR 38925, 6/30/
                         --CSCC; and                    EAR) \4\.                               22.
                         --Hunan Guofang Keji                                                  87 FR [INSERT FR
                          University.                                                           PAGE NUMBER, 10/
                         Garden Road (Metro West),                                              13/22.
                          Changsha City, Kaifu
                          District, Hunan Province,
                          China; and 109 Deya Road,
                          Kaifu District, Changsha
                          City, Hunan Province,
                          China; and 47 Deya Road,
                          Kaifu District, Changsha
                          City, Hunan Province,
                          China; and 147 Deya Road,
                          Kaifu District, Changsha
                          City, Hunan Province,
                          China; and 47 Yanwachi,
                          Kaifu District, Changsha,
                          Hunan, China; and Wonderful
                          Plaza, Sanyi Avenue, Kaifu
                          District, Changsha, China;
                          and No. 54 Beiya Road,
                          Changsha, China; and No. 54
                          Deya Road, Changsha, China.
                                                                * * * * * *
                         New H3C Semiconductor         For all items       Presumption of      86 FR 67319. 11/
                          Technologies Co., Ltd.,       subject to the      denial.             26/21.
                         No. 1, Floor 1, Unit 1,        EAR. (See Sec.                         87 FR [INSERT FR
                          Building 4, No. 219,          Sec.   734.9(e)                         PAGE NUMBER, 10/
                          Tianhua 2nd Rd., Chengdu      and 744.11 of the                       13/22.
                          High-Tech Zone,               EAR) \4\.
                         China (Sichuan) Pilot Free
                          Trade Zone, China; and
                         Beijing Branch--Room 401,
                          4th Floor, Building 1, No.
                          8 Yard, Yongjia North Road,
                         Haidian District, Beijing,
                          China; and
                         Shanghai Branch--No. 666
                          Shengxia Rd., 122 Yindong
                          Rd., China (Shanghai) Pilot
                          Free Trade Zone, China.
                                                                * * * * * *

[[Page 62206]]

 
                         Northwestern Polytechnical    For all items       Presumption of      66 FR 24266, 5/14/
                          University, a.k.a., the       subject to the      denial.             01.
                          following three aliases:      EAR. (See Sec.                         75 FR 78883, 12/
                         --Northwestern Polytechnic     Sec.   734.9(e)                         17/10.
                          University;                   and 744.11 of the                      77 FR 58006, 9/19/
                         --Northwest Polytechnic        EAR) \4\.                               12.
                          University; and                                                      81 FR 64696, 9/20/
                         --Northwest Polytechnical                                              16.
                          University.                                                          84 FR 40241, 8/14/
                         127 Yonyi Xilu, Xi'an 71002                                            19.
                          Shaanxi, China; and Youyi                                            87 FR [INSERT FR
                          Xi Lu, Xi'an, Shaanxi,                                                PAGE NUMBER, 10/
                          China; and No. 1 Bianjia                                              13/22.
                          Cun, Xi'an; and West
                          Friendship Rd. 59, Xi'an;
                          and 3 10 W Apt 3, Xi'an.
                                                                * * * * * *
                         Shanghai High-Performance     For all items       Presumption of      86 FR 18438, 4/9/
                          Integrated Circuit Design     subject to the      denial.             21.
                          Center, a.k.a., the           EAR. (See Sec.                         87 FR [INSERT FR
                          following two aliases:        Sec.   734.9(e)                         PAGE NUMBER, 10/
                         --Shenwei Micro; and           and 744.11 of the                       13/22.
                         --Shanghai High-Performance    EAR) \4\.
                          IC Design Center.
                         No. 399, Bi sheng Road,
                          Zhangjiang Hi-Tech Park,
                          Pudong New Area, Shanghai,
                          China; and
                         428 Zhanghen Rd, Zhangjiang
                          High Tech Park, Pudong
                          District, Shanghai, China.
                                                                * * * * * *
                         Sugon, a.k.a., the following  For all items       Presumption of      84 FR 29373, 6/24/
                          nine aliases:                 subject to the      denial.             19.
                         --Dawning;                     EAR. (See Sec.                         87 FR [INSERT FR
                         --Dawning Information          Sec.   734.9(e)                         PAGE NUMBER, 10/
                          Industry;                     and 744.11 of the                       13/22.
                         --Sugon Information            EAR) \4\.
                          Industry;
                         --Shuguang;
                         --Shuguang Information
                          Industry;
                         --Zhongke Dawn;
                         --Zhongke Shuguang;
                         --Dawning Company; and
                         --Tianjin Shuguang Computer
                          Industry.
                         Sugon Building, No. 36
                          Zhongguancun Software Park,
                          No. 8 Dongbeiwang West
                          Road, Haidian District,
                          Beijing; and No. 15, Haitai
                          Huake Street, Huayuan
                          Industrial Zone, Tianjin;
                          and Sugon Science and
                          Technology Park, No. 64
                          Shuimo West Street, Haidian
                          District, Beijing, China.
                                                                * * * * * *
                         Sunway Microelectronics,      For all items       Presumption of      86 FR 18438, 4/9/
                          a.k.a., the following two     subject to the      denial.             21.
                          aliases:                      EAR. (See Sec.                         87 FR [INSERT FR
                         --Chengdu Shenwei              Sec.   734.9(e)                         PAGE NUMBER, 10/
                          Technology; and               and 744.11 of the                       13/22.
                         --Chengdu Sunway Technology.   EAR) \4\.
                         Building D22, Electronic
                          Science and Technology
                          Park, Section 4, Huafu
                          Avenue, Chengdu, China; and
                          Shuangxing Avenue, Gongxing
                          Street, Southwest Airport
                          Economic Development Zone,
                          Shuangliu District,
                          Chengdu, China.
                                                                * * * * * *

[[Page 62207]]

 
                         Tianjin Phytium Information   For all items       Presumption of      86 FR 18438, 4/9/
                          Technology, a.k.a., the       subject to the      denial.             21.
                          following three aliases:      EAR. (See Sec.                         87 FR [INSERT FR
                         --Phytium;                     Sec.   734.9(e)                         PAGE NUMBER, 10/
                         --Phytium Technology; and      and 744.11 of the                       13/22.
                         --Tianjin Feiteng              EAR) \4\.
                          Information Technology.
                         Bldg 5 Xin'an Venture Plaza
                          1 Haiyuan M Rd Binhai New
                          Area Tianjin, 300450 China;
                          and Building 5, Xin'an
                          Chuangye Plaza, No. 1,
                          Haiyuan Middle Road, Binhai
                          New District, Tianjin,
                          China; and 8th Floor,
                          Quantum Core Tower, No.27
                          Zhichun Road, Haidian
                          District, Beijing, China;
                          and 10th Floor, Office
                          Building, Wangdefu Kaiyue
                          International Building,
                          No.526 Sanyi Avenue, Kaifu
                          District, Changsha City,
                          Hunan Province; China; and
                          Room 101, No. 1012, Hulin
                          Road, Huangpu District,
                          Guangzhou, China; and 100
                          Waihuanxi Rd, 3F-326
                          Science Pavilion, Panyu
                          District, Guangdong,
                          Guangzhou, China.
                                                                * * * * * *
                         Wuxi Jiangnan Institute of    For all items       Presumption of      84 FR 29373, 6/24/
                          Computing Technology,         subject to the      denial.             19.
                          a.k.a., the following two     EAR. (See Sec.                         87 FR [INSERT FR
                          aliases:                      Sec.   734.9(e)                         PAGE NUMBER, 10/
                         --Jiangnan Institute of        and 744.11 of the                       13/22.
                          Computing Technology; and     EAR) \4\.
                         --JICT.
                         No. 699, Shanshui East Road,
                          Binhu District, Wuxi City,
                          China, and No. 188,
                          Shanshui East Road, Binhu
                          District, Wuxi City, China.
                                                                * * * * * *
                         Yitu Technologies,            For all items       Case-by-case        84 FR 54004, 10/9/
                         23F, Shanghai Arch Tower I,    subject to the      review for ECCNs    19.
                          523 Loushanguan Rd,           EAR. (See Sec.      1A004.c, 1A004.d,  85 FR 44159, 7/22/
                          Changning District,           Sec.   734.9(e)     1A995, 1A999.a,     20.
                          Shanghai, China.              and 744.11 of the   1D003, 2A983,      87 FR [INSERT FR
                                                        EAR) \4\.           2D983, and 2E983,   PAGE NUMBER, 10/
                                                                            and for EAR99       13/22.
                                                                            items described
                                                                            in the Note to
                                                                            ECCN 1A995; case-
                                                                            by-case review
                                                                            for items
                                                                            necessary to
                                                                            detect, identify
                                                                            and treat
                                                                            infectious
                                                                            disease; and
                                                                            presumption of
                                                                            denial for all
                                                                            other items
                                                                            subject to the
                                                                            EAR.
                                                                * * * * * *
 
                                                  * * * * * * *
----------------------------------------------------------------------------------------------------------------
\1\ For this entity, ``items subject to the EAR'' includes foreign-produced items that are subject to the EAR
  under Sec.   734.9(e)(1) of the EAR. See Sec.   744.11(a)(2)(i) for related license requirements and license
  review policy for these items.
 * * * * * * *
\4\ For this entity, ``items subject to the EAR'' includes foreign-produced items that are subject to the EAR
  under Sec.   734.9(e)(2) of the EAR. See Sec.   744.11(a)(2)(ii) for related license requirements and license
  review policy.

PART 762--RECORDKEEPING

0
20. The authority citation for part 762 continues to read as follows:

    Authority:  50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50 
U.S.C. 1701 et seq.; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 
783.

0
21. Effective on October 21, 2022, Sec.  762.2 is amended by 
redesignating paragraphs (b)(3) through (31) as paragraphs (b)(4) 
through (32) and adding new paragraph (b)(3) to read as follows:


Sec.  762.2  Records to be retained.

* * * * *
    (b) * * *
    (3) Section 734.9(h), Foreign Direct Product (FDP) supply chain 
certification;
* * * * *

[[Page 62208]]

PART 772--DEFINITIONS OF TERMS

0
22. The authority citation for part 772 continues to read as follows:

    Authority:  50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50 
U.S.C. 1701 et seq.; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 
783.


0
23. Effective on October 21, 2022, Sec.  772.1 is amended by adding a 
definition for ``Supercomputer'' in alphabetical order to read as 
follows:


Sec.  772.1  Definitions of terms as used in the Export Administration 
Regulations (EAR).

* * * * *
    Supercomputer. (734, 744) A computing ``system'' having a 
collective maximum theoretical compute capacity of 100 or more double-
precision (64-bit) petaflops or 200 or more single-precision (32-bit) 
petaflops within a 41,600 ft\3\ or smaller envelope.
    Note 1 to ``Supercomputer'': The 41,600 ft\3\ envelope corresponds, 
for example, to a 4x4x6.5 ft rack size and therefore 6,400 ft\2\ of 
floor space. The envelope may include empty floor space between racks 
as well as adjacent floors for multi-floor systems.
    Note 2 to ``Supercomputer'': Typically, a `supercomputer' is a 
high-performance multi-rack system having thousands of closely coupled 
compute cores connected in parallel with networking technology and 
having a high peak power capacity requiring cooling elements. They are 
used for computationally intensive tasks including scientific and 
engineering work. Supercomputers may include shared memory, distributed 
memory, or a combination of both.
* * * * *

PART 774--THE COMMERCE CONTROL LIST

0
24. The authority citation for part 774 continues to read as follows:

    Authority:  50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.; 50 
U.S.C. 1701 et seq.; 10 U.S.C. 8720; 10 U.S.C. 8730(e); 22 U.S.C. 
287c, 22 U.S.C. 3201 et seq.; 22 U.S.C. 6004; 42 U.S.C. 2139a; 15 
U.S.C. 1824; 50 U.S.C. 4305; 22 U.S.C. 7201 et seq.; 22 U.S.C. 7210; 
E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 
FR 44025, 3 CFR, 2001 Comp., p. 783.


0
25. Effective on October 7, 2022, supplement no. 1 to part 774 is 
amended by adding ECCN 3B090 after ECCN 3B002 and revising ECCNs 3B991, 
3D001, and 3E001 to read as follows:

Supplement No. 1 to Part 774--The Commerce Control List

* * * * *
3B090 Semiconductor manufacturing equipment, not Controlled by 
3B001, as follows (see List of Items Controlled) and ``specially 
designed'' ``parts,'' ``components,'' and ``accessories'' therefor.

License Requirements

Reason for Control: RS, AT

 
                                              Country chart  (See Supp.
                Control(s)                       No. 1 to part 738)
 
RS applies to entire entry................  China (see Sec.
                                             742.6(a)(6))
AT applies to entire entry................  AT Column 1
 

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

LVS: N/A
GBS: N/A

List of Items Controlled

Related Controls: N/A
Related Definitions: N/A Items:

    a. Semiconductor manufacturing deposition equipment, as follows:
    a.1. Equipment for depositing cobalt through electroplating 
processes.
    a.2. Chemical vapor deposition equipment capable of deposition 
of cobalt or tungsten fill metal having a void/seam having a largest 
dimension less than or equal to 3 nm in the fill metal using a 
bottom-up fill process.
    a.3 Equipment capable of fabricating a metal contact within one 
processing chamber by:
    a.3.a. Depositing a layer using an organometallic tungsten 
compound while maintaining the wafer substrate temperature between 
100 [deg]C and 500 [deg]C; and
    a.3.b. Conducting a plasma process where the chemistries include 
hydrogen, including H2+N2 and NH3.
    a.4. Equipment capable of fabricating a metal contact in a 
vacuum environment by:
    a.4.a. Using a surface treatment during a plasma process where 
the chemistries include hydrogen, including H2, 
H2+N2, and NH3, while maintaining 
the wafer substrate temperature between 100 [deg]C and 500 [deg]C;
    a.4.b. Using a surface treatment consisting of a plasma process 
where the chemistries include oxygen (including O2 and 
O3) while maintaining the wafer substrate temperature 
between 40 [deg]C and 500 [deg]C; and
    a.4.c. Depositing a tungsten layer while maintaining the wafer 
substrate temperature between 100 [deg]C and 500 [deg]C.
    a.5. Equipment capable of depositing a cobalt metal layer 
selectively in a vacuum environment where the first step uses a 
remote plasma generator and an ion filter, and the second step is 
the deposition of the cobalt layer using an organometallic compound.
    Note: This control does not apply to equipment that is non-
selective.
    a.6. Physical vapor deposition equipment capable of depositing a 
cobalt layer with a thickness of 10 nm or less on a top surface of a 
copper or cobalt metal interconnect.
    a.7. Atomic layer deposition equipment capable of depositing a 
`work function metal' for the purpose of adjusting transistor 
electrical parameters by delivering an organometallic aluminum 
compound and a titanium halide compound onto a wafer substrate.
    Technical note: `Work function metal' is a material that 
controls the threshold voltage of a transistor.
    a.8. Equipment capable of fabricating a metal contact in a 
vacuum environment by depositing all of the following:
    a.8.a. A titanium nitride (TiN) or tungsten carbide (WC) layer 
using an organometallic compound while maintaining the wafer 
substrate temperature between 20 [deg]C and 500 [deg]C;
    a.8.b. A cobalt layer using a physical sputter deposition 
technique where the process pressure is 1-100 mTorr while 
maintaining the wafer substrate temperature below 500 [deg]C; and
    a.8.c. A cobalt layer using an organometallic compound, where 
the process pressure is 1-100 Torr, and the wafer substrate 
temperature is maintained between 20 [deg]C and 500 [deg]C.
    a.9. Equipment capable of fabricating copper metal interconnects 
in a vacuum environment that deposits all of the following:
    a.9.a. A cobalt or ruthenium layer using organometallic compound 
where the process pressure is 1-100 Torr, and the wafer substrate 
temperature is maintained between 20 [deg]C and 500 [deg]C; and
    a.9.b. A copper layer using a physical vapor deposition 
technique where the process pressure is 1-100m Torr and the wafer 
substrate temperature is maintained below 500 [deg]C.
    a.10. Equipment capable of area selective deposition of a 
barrier or liner using an organometallic compound.
    Note: 3B090.a.10 includes equipment capable of area selective 
deposition of a barrier layer to enable fill metal contact to an 
underlying electrical conductor without a barrier layer at the fill 
metal via interface to an underlying electrical conductor.
    a.11. Atomic layer deposition equipment capable of producing a 
void/seam free fill of tungsten or cobalt in a structure having an 
aspect ratio greater than 5:1, with openings smaller than 40 nm, and 
at temperatures less than 500 [deg]C.
* * * * *
3B991 Equipment, not controlled by 3B001 or 3B090, for the 
manufacture of electronic ``parts,'' ``components'' and materials, 
and ``specially designed'' ``parts,'' ``components'' and 
``accessories'' therefor.

License Requirements

Reason for Control: AT

 
                                              Country chart  (See Supp.
                Control(s)                       No. 1 to part 738)
 
AT applies to entire entry................  AT Column 1
 

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

LVS: N/A
GBS: N/A

[[Page 62209]]

List of Items Controlled

Related Controls: N/A
Related Definitions: `Sputtering' is an overlay coating process 
wherein positively charged ions are accelerated by an electric field 
towards the surface of a target (coating material). The kinetic 
energy of the impacting ions is sufficient to cause target surface 
atoms to be released and deposited on the substrate. (Note: Triode, 
magnetron or radio frequency sputtering to increase adhesion of 
coating and rate of deposition are ordinary modifications of the 
process.)
Items:
    a. Equipment ``specially designed'' for the manufacture of 
electron tubes, optical elements and ``specially designed'' 
``parts'' and ``components'' therefor controlled by 3A001 or 3A991;
    b. Equipment ``specially designed'' for the manufacture of 
semiconductor devices, integrated circuits and ``electronic 
assemblies'', as follows, and systems incorporating or having the 
characteristics of such equipment:
    Note: 3B991.b also controls equipment used or modified for use 
in the manufacture of other devices, such as imaging devices, 
electro-optical devices, acoustic-wave devices.
    b.1. Equipment for the processing of materials for the 
manufacture of devices, ``parts'' and ``components'' as specified in 
the heading of 3B991.b, as follows:
    Note: 3B991 does not control quartz furnace tubes, furnace 
liners, paddles, boats (except ``specially designed'' caged boats), 
bubblers, cassettes or crucibles ``specially designed'' for the 
processing equipment controlled by 3B991.b.1.
    b.1.a. Equipment for producing polycrystalline silicon and 
materials controlled by 3C001;
    b.1.b. Equipment ``specially designed'' for purifying or 
processing III/V and II/VI semiconductor materials controlled by 
3C001, 3C002, 3C003, 3C004, or 3C005 except crystal pullers, for 
which see 3B991.b.1.c below;
    b.1.c. Crystal pullers and furnaces, as follows:
    Note: 3B991.b.1.c does not control diffusion and oxidation 
furnaces.
    b.1.c.1. Annealing or recrystallizing equipment other than 
constant temperature furnaces employing high rates of energy 
transfer capable of processing wafers at a rate exceeding 0.005 m\2\ 
per minute;
    b.1.c.2. ``Stored program controlled'' crystal pullers having 
any of the following characteristics:
    b.1.c.2.a. Rechargeable without replacing the crucible 
container;
    b.1.c.2.b. Capable of operation at pressures above 2.5 x 10\5\ 
Pa; or
    b.1.c.2.c. Capable of pulling crystals of a diameter exceeding 
100 mm;
    b.1.d. ``Stored program controlled'' equipment for epitaxial 
growth having any of the following characteristics:
    b.1.d.1. Capable of producing silicon layer with a thickness 
uniform to less than 2.5% across a distance of 200 mm or 
more;
    b.1.d.2. Capable of producing a layer of any material other than 
silicon with a thickness uniformity across the wafer of equal to or 
better than  3.5%; or
    b.1.d.3. Rotation of individual wafers during processing;
    b.1.e. Molecular beam epitaxial growth equipment;
    b.1.f. Magnetically enhanced `sputtering' equipment with 
``specially designed'' integral load locks capable of transferring 
wafers in an isolated vacuum environment;
    b.1.g. Equipment ``specially designed'' for ion implantation, 
ion-enhanced or photo-enhanced diffusion, having any of the 
following characteristics:
    b.1.g.1. Patterning capability;
    b.1.g.2. Beam energy (accelerating voltage) exceeding 200 keV;
    b.1.g.3 Optimized to operate at a beam energy (accelerating 
voltage) of less than 10 keV; or
    b.1.g.4. Capable of high energy oxygen implant into a heated 
``substrate'';
    b.1.h. ``Stored program controlled'' equipment for the selective 
removal (etching) by means of anisotropic dry methods (e.g., 
plasma), as follows:
    b.1.h.1. Batch types having either of the following:
    b.1.h.1.a. End-point detection, other than optical emission 
spectroscopy types; or
    b.1.h.1.b. Reactor operational (etching) pressure of 26.66 Pa or 
less;
    b.1.h.2. Single wafer types having any of the following:
    b.1.h.2.a. End-point detection, other than optical emission 
spectroscopy types;
    b.1.h.2.b. Reactor operational (etching) pressure of 26.66 Pa or 
less; or
    b.1.h.2.c. Cassette-to-cassette and load locks wafer handling;
    Notes: 1. ``Batch types'' refers to machines not ``specially 
designed'' for production processing of single wafers. Such machines 
can process two or more wafers simultaneously with common process 
parameters, e.g., RF power, temperature, etch gas species, flow 
rates.
    2. ``Single wafer types'' refers to machines ``specially 
designed'' for production processing of single wafers. These 
machines may use automatic wafer handling techniques to load a 
single wafer into the equipment for processing. The definition 
includes equipment that can load and process several wafers but 
where the etching parameters, e.g., RF power or end point, can be 
independently determined for each individual wafer.
    b.1.i. ``Chemical vapor deposition'' (CVD) equipment, e.g., 
plasma-enhanced CVD (PECVD) or photo-enhanced CVD, for semiconductor 
device manufacturing, having either of the following capabilities, 
for deposition of oxides, nitrides, metals or polysilicon:
    b.1.i.1. ``Chemical vapor deposition'' equipment operating below 
10\5\ Pa; or
    b.1.i.2. PECVD equipment operating either below 60 Pa (450 
millitorr) or having automatic cassette-to-cassette and load lock 
wafer handling;
    Note: 3B991.b.1.i does not control low pressure ``chemical vapor 
deposition'' (LPCVD) systems or reactive ``sputtering'' equipment.
    b.1.j. Electron beam systems ``specially designed'' or modified 
for mask making or semiconductor device processing having any of the 
following characteristics:
    b.1.j.1. Electrostatic beam deflection;
    b.1.j.2. Shaped, non-Gaussian beam profile;
    b.1.j.3. Digital-to-analog conversion rate exceeding 3 MHz;
    b.1.j.4. Digital-to-analog conversion accuracy exceeding 12 bit; 
or
    b.1.j.5. Target-to-beam position feedback control precision of 1 
micrometer or finer;
    Note: 3B991.b.1.j does not control electron beam deposition 
systems or general purpose scanning electron microscopes.
    b.1.k. Surface finishing equipment for the processing of 
semiconductor wafers as follows:
    b.1.k.1. ``Specially designed'' equipment for backside 
processing of wafers thinner than 100 micrometer and the subsequent 
separation thereof; or
    b.1.k.2. ``Specially designed'' equipment for achieving a 
surface roughness of the active surface of a processed wafer with a 
two-sigma value of 2 micrometer or less, total indicator reading 
(TIR);
    Note: 3B991.b.1.k does not control single-side lapping and 
polishing equipment for wafer surface finishing.
    b.1.l. Interconnection equipment which includes common single or 
multiple vacuum chambers ``specially designed'' to permit the 
integration of any equipment controlled by 3B991 into a complete 
system;
    b.1.m. ``Stored program controlled'' equipment using ``lasers'' 
for the repair or trimming of ``monolithic integrated circuits'' 
with either of the following characteristics:
    b.1.m.1. Positioning accuracy less than  1 
micrometer; or
    b.1.m.2. Spot size (kerf width) less than 3 micrometer.
    b.2. Masks, mask ``substrates,'' mask-making equipment and image 
transfer equipment for the manufacture of devices, ``parts'' and 
``components'' as specified in the heading of 3B991, as follows:
    Note: The term ``masks'' refers to those used in electron beam 
lithography, X-ray lithography, and ultraviolet lithography, as well 
as the usual ultraviolet and visible photo-lithography.
    b.2.a. Finished masks, reticles and designs therefor, except:
    b.2.a.1. Finished masks or reticles for the production of 
unembargoed integrated circuits; or
    b.2.a.2. Masks or reticles, having both of the following 
characteristics:
    b.2.a.2.a. Their design is based on geometries of 2.5 micrometer 
or more; and
    b.2.a.2.b. The design does not include special features to alter 
the intended use by means of production equipment or ``software'';
    b.2.b. Mask ``substrates'' as follows:
    b.2.b.1. Hard surface (e.g., chromium, silicon, molybdenum) 
coated ``substrates'' (e.g., glass, quartz, sapphire) for the 
preparation of masks having dimensions exceeding 125 mm x 125 mm; or
    b.2.b.2. ``Substrates'' ``specially designed'' for X-ray masks;
    b.2.c. Equipment, other than general purpose computers, 
``specially designed'' for computer aided design (CAD) of 
semiconductor devices or integrated circuits;
    b.2.d. Equipment or machines, as follows, for mask or reticle 
fabrication:

[[Page 62210]]

    b.2.d.1. Photo-optical step and repeat cameras capable of 
producing arrays larger than 100 mm x 100 mm, or capable of 
producing a single exposure larger than 6 mm x 6 mm in the image 
(i.e., focal) plane, or capable of producing line widths of less 
than 2.5 micrometer in the photoresist on the ``substrate'';
    b.2.d.2. Mask or reticle fabrication equipment using ion or 
``laser'' beam lithography capable of producing line widths of less 
than 2.5 micrometer; or
    b.2.d.3. Equipment or holders for altering masks or reticles or 
adding pellicles to remove defects;
    Note: 3B991.b.2.d.1 and b.2.d.2 do not control mask fabrication 
equipment using photo-optical methods which was either commercially 
available before the 1st January, 1980, or has a performance no 
better than such equipment.
    b.2.e. ``Stored program controlled'' equipment for the 
inspection of masks, reticles or pellicles with:
    b.2.e.1. A resolution of 0.25 micrometer or finer; and
    b.2.e.2. A precision of 0.75 micrometer or finer over a distance 
in one or two coordinates of 63.5 mm or more;
    Note: 3B991.b.2.e does not control general purpose scanning 
electron microscopes except when ``specially designed'' and 
instrumented for automatic pattern inspection.
    b.2.f. Align and expose equipment for wafer production using 
photo-optical or X-ray methods, e.g., lithography equipment, 
including both projection image transfer equipment and step and 
repeat (direct step on wafer) or step and scan (scanner) equipment, 
capable of performing any of the following functions:
    Note: 3B991.b.2.f does not control photo-optical contact and 
proximity mask align and expose equipment or contact image transfer 
equipment.
    b.2.f.1. Production of a pattern size of less than 2.5 
micrometer;
    b.2.f.2. Alignment with a precision finer than  0.25 
micrometer (3 sigma);
    b.2.f.3. Machine-to-machine overlay no better than  
0.3 micrometer; or
    b.2.f.4. A light source wavelength shorter than 400 nm;
    b.2.g. Electron beam, ion beam or X-ray equipment for projection 
image transfer capable of producing patterns less than 2.5 
micrometer;
    Note: For focused, deflected-beam systems(direct write systems), 
see 3B991.b.1.j or b.10.
    b.2.h. Equipment using ``lasers'' for direct write on wafers 
capable of producing patterns less than 2.5 micrometer.
    b.3. Equipment for the assembly of integrated circuits, as 
follows:
    b.3.a. ``Stored program controlled'' die bonders having all of 
the following characteristics:
    b.3.a.1. ``Specially designed'' for ``hybrid integrated 
circuits'';
    b.3.a.2. X-Y stage positioning travel exceeding 37.5 x 37.5 mm; 
and
    b.3.a.3. Placement accuracy in the X-Y plane of finer than 
 10 micrometer;
    b.3.b. ``Stored program controlled'' equipment for producing 
multiple bonds in a single operation (e.g., beam lead bonders, chip 
carrier bonders, tape bonders);
    b.3.c. Semi-automatic or automatic hot cap sealers, in which the 
cap is heated locally to a higher temperature than the body of the 
package, ``specially designed'' for ceramic microcircuit packages 
controlled by 3A001 and that have a throughput equal to or more than 
one package per minute.
    Note: 3B991.b.3 does not control general purpose resistance type 
spot welders.
    b.4. Filters for clean rooms capable of providing an air 
environment of 10 or less particles of 0.3 micrometer or smaller per 
0.02832 m\3\ and filter materials therefor.
* * * * *
3D001 ``Software'' ``specially designed'' for the ``development'' or 
``production'' of commodities controlled by 3A001.b to 3A002.h, or 
3B (except 3B991 and 3B992).

License Requirements

Reason for Control: NS, RS, AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
NS applies to ``software'' for commodities  NS Column 1
 controlled by 3A001.b to 3A001.h, 3A002,
 and 3B.
RS applies to ``software'' for commodities  China (see Sec.
 controlled by 3B090.                        742.6(a)(6))
AT applies to entire entry................  AT Column 1
 

Reporting Requirements

    See Sec.  743.1 of the EAR for reporting requirements for 
exports under License Exceptions, Special Comprehensive Licenses, 
and Validated End-User authorizations.

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

TSR: Yes, except for ``software'' ``specially designed'' for the 
``development'' or ``production'' of Traveling Wave Tube Amplifiers 
described in 3A001.b.8 having operating frequencies exceeding 18 
GHz.

Special Conditions for STA

STA: License Exception STA may not be used to ship or transmit 
``software'' ``specially designed'' for the ``development'' or 
``production'' of equipment specified by 3A002.g.1 or 3B001.a.2 to 
any of the destinations listed in Country Group A:6 (See Supplement 
No.1 to part 740 of the EAR).

List of Items Controlled

Related Controls: N/A
Related Definitions: N/A
Items:

    The list of items controlled is contained in the ECCN heading.
* * * * *
3E001 ``Technology'' according to the General Technology Note for 
the ``development'' or ``production'' of commodities controlled by 
3A (except 3A980, 3A981, 3A991, 3A992, or 3A999), 3B (except 3B991 
or 3B992) or 3C (except 3C992).

License Requirements

Reason for Control: NS, MT, NP, RS, AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
NS applies to ``technology'' for            NS Column 1
 commodities controlled by 3A001, 3A002,
 3A003, 3B001, 3B002, or 3C001 to 3C006..
MT applies to ``technology'' for            MT Column 1
 commodities controlled by.
3A001 or 3A101 for MT reasons.............
NP applies to ``technology'' for            NP Column 1
 commodities controlled by.
3A001, 3A201, or 3A225 to 3A234 for NP
 reasons.
RS applies to ``technology'' for            China (See Sec.
 commodities controlled by 3B090 or          742.6(a)(6)).
 ``software'' specified by 3D001 (for
 3B090 commodities)..
AT applies to entire entry................  AT Column 1
 

    License Requirements Note: See Sec.  744.17 of the EAR for 
additional license requirements for microprocessors having a 
processing speed of 5 GFLOPS or more and an arithmetic logic unit 
with an access width of 32 bit or more, including those 
incorporating ``information security'' functionality, and associated 
``software'' and ``technology'' for the ``production'' or 
``development'' of such microprocessors.

Reporting Requirements

    See Sec.  743.1 of the EAR for reporting requirements for 
exports under License Exceptions, Special Comprehensive Licenses, 
and Validated End-User authorizations.

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

TSR: Yes, except N/A for MT, and ``technology'' for the 
``development'' or ``production'' of: (a) vacuum electronic device 
amplifiers described in 3A001.b.8, having operating frequencies 
exceeding 19 GHz; (b) solar cells, coverglass-interconnect-cells or 
covered-interconnect-cells (CIC) ``assemblies'', solar arrays and/or 
solar panels described in 3A001.e.4; (c) ``Monolithic Microwave 
Integrated Circuit'' (``MMIC'') amplifiers in 3A001.b.2; and (d) 
discrete microwave transistors in 3A001.b.3.

Special Conditions for STA

STA: License Exception STA may not be used to ship or transmit 
``technology''

[[Page 62211]]

according to the General Technology Note for the ``development'' or 
``production'' of equipment specified by ECCNs 3A002.g.1 or 
3B001.a.2 to any of the destinations listed in Country Group A:6 
(See Supplement No.1 to part 740 of the EAR). License Exception STA 
may not be used to ship or transmit ``technology'' according to the 
General Technology Note for the ``development'' or ``production'' of 
components specified by ECCN 3A001.b.2 or b.3 to any of the 
destinations listed in Country Group A:5 or A:6 (See Supplement No.1 
to part 740 of the EAR).

List of Items Controlled

Related Controls: (1)``Technology'' according to the General 
Technology Note for the ``development'' or ``production'' of certain 
``space-qualified'' atomic frequency standards described in Category 
XV(e)(9), MMICs described in Category XV(e)(14), and oscillators 
described in Category XV(e)(15) of the USML are ``subject to the 
ITAR'' (see 22 CFR parts 120 through 130). See also 3E101, 3E201 and 
9E515. (2) ``Technology'' for ``development'' or ``production'' of 
``Microwave Monolithic Integrated Circuits'' (``MMIC'') amplifiers 
in 3A001.b.2 is controlled in this ECCN 3E001; 5E001.d refers only 
to that additional ``technology'' ``required'' for 
telecommunications.
Related Definition: N/A
Items:

    The list of items controlled is contained in the ECCN heading.
    Note 1: 3E001 does not control ``technology'' for equipment or 
``components'' controlled by 3A003.
    Note 2: 3E001 does not control ``technology'' for integrated 
circuits controlled by 3A001.a.3 to a.14, having all of the 
following:
    (a) Using ``technology'' at or above 0.130 [micro]m; and
    (b) Incorporating multi-layer structures with three or fewer 
metal layers.
    Note 3: 3E001 does not apply to `Process Design Kits' (`PDKs') 
unless they include libraries implementing functions or technologies 
for items specified by 3A001.
    Technical Note: A `Process Design Kit' (`PDK') is a software 
tool provided by a semiconductor manufacturer to ensure that the 
required design practices and rules are taken into account in order 
to successfully produce a specific integrated circuit design in a 
specific semiconductor process, in accordance with technological and 
manufacturing constraints (each semiconductor manufacturing process 
has its particular `PDK').


0
26. Effective on October 21, 2022, supplement no. 1 to part 774 is 
further amended by:
0
a. Under Category 3, Product Group A, revising Note 3;
0
b. Adding ECCN 3A090 after ECCN 3A003;
0
c. Revising ECCNs 3A991, 3D001, and 3E001;
0
d. Adding ECCN 4A090 after ECCN 4A005;
0
e. Revising ECCN 4A994;
0
f. Adding ECCN 4D090 after ECCN 4D004; and
0
g. Revising ECCNs 4D994, 4E001, 5A992, and 5D992.
    The additions and revisions read as follows:

Supplement No. 1 to Part 774--The Commerce Control List

* * * * *

Category 3--Electronics A. ``End Items,'' ``Equipment,'' 
``Accessories,'' ``Attachments,'' ``Parts,'' ``Components,'' and 
``Systems''

* * * * *
    Note 3: The status of wafers (finished or unfinished), in which 
the function has been determined, is to be evaluated against the 
parameters of items in 3A.
* * * * *
3A090 Integrated circuits as follows (see List of Items Controlled).

License Requirements

Reason for Control: RS, AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
RS applies to entire entry................  China (See Sec.
                                             742.6(a)(6))
AT applies to entire entry................  AT Column 1
 

    List Based License Exceptions (See Part 740 for a description of 
all license exceptions)
LVS: N/A
GBS N/A

List of Items Controlled

Related Controls: See ECCNs 3D001 and 3E001 for associated 
technology and software controls.
Related Definitions: N/A
Items:

    a. Integrated circuits that have or are programmable to have an 
aggregate bidirectional transfer rate over all inputs and outputs of 
600 Gbyte/s or more to or from integrated circuits other than 
volatile memories, and any of the following:
    a.1. One or more digital processor units executing machine 
instructions having a bit length per operation multiplied by 
processing performance measured in TOPS, aggregated over all 
processor units, of 4800 or more;
    a.2. One or more digital `primitive computational units,' 
excluding those units contributing to the execution of machine 
instructions relevant to the calculation of TOPS for 3A090.a.1, 
having a bit length per operation multiplied by processing 
performance measured in TOPS, aggregated over all computational 
units, of 4800 or more;
    a.3. One or more analog, multi-value, or multi-level `primitive 
computational units' having a processing performance measured in 
TOPS multiplied by 8, aggregated over all computational units, of 
4800 or more; or
    a.4. Any combination of digital processor units and `primitive 
computational units' whose calculations according to 3A090.a.1, 
3A090.a.2, and 3A090.a.3 sum to 4800 or more.
    Note: Integrated circuits specified by 3A090.a include graphical 
processing units (GPUs), tensor processing units (TPUs), neural 
processors, in-memory processors, vision processors, text 
processors, co-processors/accelerators, adaptive processors, field-
programmable logic devices (FPLDs), and application-specific 
integrated circuits (ASICs). Examples of integrated circuits are in 
the Note to 3A001.a.
    Technical Notes:
    1. A `primitive computational unit' is defined as containing 
zero or more modifiable weights, receiving one or more inputs, and 
producing one or more outputs. A computational unit is said to 
perform 2N-1 operations whenever an output is updated based on N 
inputs, where each modifiable weight contained in the processing 
element counts as an input. Each input, weight, and output might be 
an analog signal level or a scalar digital value represented using 
one or more bits. Such units include:

--Artificial neurons
-- Multiply accumulate (MAC) units
--Floating-point units (FPUs)
--Analog multiplier units
--Processing units using memristors, spintronics, or magnonics
--Processing units using photonics or non-linear optics
--Processing units using analog or multi-level nonvolatile weights
--Processing units using multi-level memory or analog memory
-- Multi-value units
--Spiking units

    2. Operations relevant to the calculation of TOPS for 3A090.a 
include both scalar operations and the scalar constituents of 
composite operations such as vector operations, matrix operations, 
and tensor operations. Scalar operations include integer operations, 
floating-point operations (often measured by FLOPS), fixed-point 
operations, bit-manipulation operations, and/or bitwise operations.
    3. TOPS is Tera Operations Per Second or 10\12\ Operations per 
Second.
    4. The rate of TOPS is to be calculated at its maximum value 
theoretically possible when all processing elements are operating 
simultaneously. The rate of TOPS and aggregate bidirectional 
transfer rate is assumed to be the highest value the manufacturer 
claims in a manual or brochure for the integrated circuit. For 
example, the threshold of 4800 bits x TOPS can be met with 600 tera 
integer operations at 8 bits or 300 tera FLOPS at 16 bits. The bit 
length of an operation is equal to the highest bit length of any 
input or output of that operation. Additionally, if an item 
specified by this entry is designed for operations that achieve 
different bits x TOPS value, the highest bits x TOPS value should be 
used for the purposes of 3A090.a.
    5. For integrated circuits specified by 3A090.a that provide 
processing of both sparse and dense matrices, the TOPS values are 
the values for processing of dense matrices (e.g., without 
sparsity).

[[Page 62212]]

    b. [Reserved]
* * * * *
3A991 Electronic devices and ``components,'' not controlled by 
3A001.

License Requirements

    Reason for Control: AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
AT applies to entire entry................  AT Column 1
 

    License Requirements Note: See Sec.  744.17 of the EAR for 
additional license requirements for microprocessors having a 
processing speed of 5 GFLOPS or more and an arithmetic logic unit 
with an access width of 32 bit or more, including those 
incorporating ``information security'' functionality, and associated 
``software'' and ``technology'' for the ``production'' or 
``development'' of such microprocessors.

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

LVS: N/A
GBS: N/A

List of Items Controlled

Related Controls: For associated ``software'' for commodities in 
this ECCN, see 3D991 and for associated ``technology for commodities 
in this ECCN, see 3E991.
Related Definitions: N/A
Items:

    a. ``Microprocessor microcircuits'', ``microcomputer 
microcircuits'', and microcontroller microcircuits having any of the 
following:
    a.1. A performance speed of 5 GFLOPS or more and an arithmetic 
logic unit with an access width of 32 bit or more;
    a.2. A clock frequency rate exceeding 25 MHz; or
    a.3. More than one data or instruction bus or serial 
communication port that provides a direct external interconnection 
between parallel ``microprocessor microcircuits'' with a transfer 
rate of 2.5 Mbyte/s;
    b. Storage integrated circuits, as follows:
    b.1. Electrical erasable programmable read-only memories 
(EEPROMs) with a storage capacity;
    b.1.a. Exceeding 16 Mbits per package for flash memory types; or
    b.1.b. Exceeding either of the following limits for all other 
EEPROM types:
    b.1.b.1. Exceeding 1 Mbit per package; or
    b.1.b.2. Exceeding 256 kbit per package and a maximum access 
time of less than 80 ns;
    b.2. Static random access memories (SRAMs) with a storage 
capacity:
    b.2.a. Exceeding 1 Mbit per package; or
    b.2.b. Exceeding 256 kbit per package and a maximum access time 
of less than 25 ns;
    c. Analog-to-digital converters having any of the following:
    c.1. A resolution of 8 bit or more, but less than 12 bit, with 
an output rate greater than 200 million words per second;
    c.2. A resolution of 12 bit with an output rate greater than 105 
million words per second;
    c.3. A resolution of more than 12 bit but equal to or less than 
14 bit with an output rate greater than 10 million words per second; 
or
    c.4. A resolution of more than 14 bit with an output rate 
greater than 2.5 million words per second;
    d. Field programmable logic devices having a maximum number of 
single-ended digital input/outputs between 200 and 700;
    e. Fast Fourier Transform (FFT) processors having a rated 
execution time for a 1,024 point complex FFT of less than 1 ms;
    f. Custom integrated circuits for which either the function is 
unknown, or the control status of the equipment in which the 
integrated circuits will be used is unknown to the manufacturer, 
having any of the following:
    f.1. More than 144 terminals; or
    f.2. A typical ``basic propagation delay time'' of less than 0.4 
ns;
    g. Traveling-wave ``vacuum electronic devices,'' pulsed or 
continuous wave, as follows:
    g.1. Coupled cavity devices, or derivatives thereof;
    g.2. Helix devices based on helix, folded waveguide, or 
serpentine waveguide circuits, or derivatives thereof, with any of 
the following:
    g.2.a. An ``instantaneous bandwidth'' of half an octave or more; 
and
    g.2.b. The product of the rated average output power (expressed 
in kW) and the maximum operating frequency (expressed in GHz) of 
more than 0.2;
    g.2.c. An ``instantaneous bandwidth'' of less than half an 
octave; and
    g.2.d. The product of the rated average output power (expressed 
in kW) and the maximum operating frequency (expressed in GHz) of 
more than 0.4;
    h. Flexible waveguides designed for use at frequencies exceeding 
40 GHz;
    i. Surface acoustic wave and surface skimming (shallow bulk) 
acoustic wave devices (i.e., ``signal processing'' devices employing 
elastic waves in materials), having either of the following:
    i.1. A carrier frequency exceeding 1 GHz; or
    i.2. A carrier frequency of 1 GHz or less; and
    i.2.a. A frequency side-lobe rejection exceeding 55 Db;
    i.2.b. A product of the maximum delay time and bandwidth (time 
in microseconds and bandwidth in MHz) of more than 100; or
    i.2.c. A dispersive delay of more than 10 microseconds;
    j. Cells as follows:
    j.1. Primary cells having an energy density of 550 Wh/kg or less 
at 293 K (20[ordm]C);
    j.2. Secondary cells having an energy density of 350 Wh/kg or 
less at 293 K (20[ordm]C);
    Note: 3A991.j does not control batteries, including single cell 
batteries.
    Technical Notes:
    1. For the purpose of 3A991.j energy density (Wh/kg) is 
calculated from the nominal voltage multiplied by the nominal 
capacity in ampere-hours divided by the mass in kilograms. If the 
nominal capacity is not stated, energy density is calculated from 
the nominal voltage squared then multiplied by the discharge 
duration in hours divided by the discharge load in Ohms and the mass 
in kilograms.
    2. For the purpose of 3A991.j, a `cell' is defined as an 
electrochemical device, which has positive and negative electrodes, 
and electrolyte, and is a source of electrical energy. It is the 
basic building block of a battery.
    3. For the purpose of 3A991.j.1, a `primary cell' is a `cell' 
that is not designed to be charged by any other source.
    4. For the purpose of 3A991.j.2, a `secondary cell' is a `cell' 
that is designed to be charged by an external electrical source.
    k. ``Superconductive'' electromagnets or solenoids ``specially 
designed'' to be fully charged or discharged in less than one 
minute, having all of the following:
    Note: 3A991.k does not control ``superconductive'' 
electromagnets or solenoids designed for Magnetic Resonance Imaging 
(MRI) medical equipment.
    k.1. Maximum energy delivered during the discharge divided by 
the duration of the discharge of more than 500 kJ per minute;
    k.2. Inner diameter of the current carrying windings of more 
than 250 mm; and
    k.3. Rated for a magnetic induction of more than 8T or ``overall 
current density'' in the winding of more than 300 A/mm \2\;
    l. Circuits or systems for electromagnetic energy storage, 
containing ``components'' manufactured from ``superconductive'' 
materials ``specially designed'' for operation at temperatures below 
the ``critical temperature'' of at least one of their 
``superconductive'' constituents, having all of the following:
    l.1. Resonant operating frequencies exceeding 1 MHz;
    l.2. A stored energy density of 1 MJ/M \3\ or more; and
    l.3. A discharge time of less than 1 ms;
    m. Hydrogen/hydrogen-isotope thyratrons of ceramic-metal 
construction and rate for a peak current of 500 A or more;
    n. Digital integrated circuits based on any compound 
semiconductor having an equivalent gate count of more than 300 (2 
input gates);
    o. Solar cells, cell-interconnect-coverglass (CIC) assemblies, 
solar panels, and solar arrays, which are ``space qualified'' and 
not controlled by 3A001.e.4.
    p. Integrated circuits, n.e.s., having any of the following:
    p.1. A processing performance of 8 TOPS or more; or
    p.2. An aggregate bidirectional transfer rate over all inputs 
and outputs of 150 Gbyte/s or more to or from integrated circuits 
other than volatile memories.
    Technical Notes: For the purposes of 3A991.p:
    1. This ECCN includes but is not limited to central processing 
units (CPU), graphics processing units (GPU), tensor processing 
units (TPU), neural processors, in-memory processors, vision 
processors, text processors, co-processors/accelerators, adaptive 
processors, and field-programmable logic devices (FPLDs).
    2. TOPS is Tera Operations Per Second or 10\12\ Operations per 
Second.
    3. The rate of TOPS is to be calculated at its maximum value 
theoretically possible

[[Page 62213]]

when all processing elements are operating simultaneously. The rate 
of TOPS and aggregate bidirectional transfer rate is assumed to be 
the highest value the manufacturer claims in a manual or brochure 
for the integrated circuit. Operations include both scalar 
operations and the scalar constituents of composite operations such 
as vector operations, matrix operations, and tensor operations. 
Scalar operations include integer operations, floating-point 
operations (often measured by FLOPS), fixed-point operations, bit-
manipulation operations, and/or bitwise operations.
* * * * *
3D001 ``Software''``specially designed'' for the ``development'' or 
``production'' of commodities controlled by 3A001.b to 3A002.h, 
3A090, or 3B (except 3B991 and 3B992).

License Requirements

Reason for Control: NS, RS, AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
NS applies to ``software'' for commodities  NS Column 1
 controlled by 3A001.b to 3A001.h, 3A002,
 and 3B.
RS applies to ``software'' for commodities  China (see Sec.
 controlled by 3A090 or 3B090..              742.6(a)(6))
AT applies to entire entry................  AT Column 1
 

Reporting Requirements

    See Sec.  743.1 of the EAR for reporting requirements for 
exports under License Exceptions, Special Comprehensive Licenses, 
and Validated End-User authorizations.

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

TSR: Yes, except for ``software'' ``specially designed'' for the 
``development'' or ``production'' of Traveling Wave Tube Amplifiers 
described in 3A001.b.8 having operating frequencies exceeding 18 
GHz.

Special Conditions for STA

STA: License Exception STA may not be used to ship or transmit 
``software'' ``specially designed'' for the ``development'' or 
``production'' of equipment specified by 3A002.g.1 or 3B001.a.2 to 
any of the destinations listed in Country Group A:6 (See Supplement 
No.1 to part 740 of the EAR).

List of Items Controlled

Related Controls: N/A
Related Definitions: N/A
Items:

    The list of items controlled is contained in the ECCN heading.
* * * * *
3E001 ``Technology'' according to the General Technology Note for 
the ``development'' or ``production'' of commodities controlled by 
3A (except 3A980, 3A981, 3A991, 3A992, or 3A999), 3B (except 3B991 
or 3B992) or 3C (except 3C992).

License Requirements

Reason for Control: NS, MT, NP, RS, AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
NS applies to ``technology'' for            NS Column 1.
 commodities controlled by 3A001, 3A002,
 3A003, 3B001, 3B002, or 3C001 to 3C006.
MT applies to ``technology'' for            MT Column 1.
 commodities controlled by 3A001 or 3A101
 for MT reasons.
NP applies to ``technology'' for            NP Column 1.
 commodities controlled by 3A001, 3A201,
 or 3A225 to 3A234 for NP reasons.
RS applies to ``technology'' for            China (See Sec.
 commodities controlled by 3A090 or 3B090    742.6(a)(6)).
 or ``software'' specified by 3D001 (for
 3A090 or 3B090 commodities).
RS applies to ``technology'' for            Worldwide (See Sec.
 commodities controlled in 3A090, when       742.6(a)(6))
 exported from China.
AT applies to entire entry................  AT Column 1
 

    License Requirements Note: See Sec.  744.17 of the EAR for 
additional license requirements for microprocessors having a 
processing speed of 5 GFLOPS or more and an arithmetic logic unit 
with an access width of 32 bit or more, including those 
incorporating ``information security'' functionality, and associated 
``software'' and ``technology'' for the ``production'' or 
``development'' of such microprocessors.

Reporting Requirements

    See Sec.  743.1 of the EAR for reporting requirements for 
exports under License Exceptions, Special Comprehensive Licenses, 
and Validated End-User authorizations.

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

TSR: Yes, except N/A for MT, and ``technology'' for the 
``development'' or ``production'' of: (a) vacuum electronic device 
amplifiers described in 3A001.b.8, having operating frequencies 
exceeding 19 GHz; (b) solar cells, coverglass-interconnect-cells or 
covered-interconnect-cells (CIC) ``assemblies'', solar arrays and/or 
solar panels described in 3A001.e.4; (c) ``Monolithic Microwave 
Integrated Circuit'' (``MMIC'') amplifiers in 3A001.b.2; and (d) 
discrete microwave transistors in 3A001.b.3.

Special Conditions for STA

STA: License Exception STA may not be used to ship or transmit 
``technology'' according to the General Technology Note for the 
``development'' or ``production'' of equipment specified by ECCNs 
3A002.g.1 or 3B001.a.2 to any of the destinations listed in Country 
Group A:6 (See Supplement No.1 to part 740 of the EAR). License 
Exception STA may not be used to ship or transmit ``technology'' 
according to the General Technology Note for the ``development'' or 
``production'' of components specified by ECCN 3A001.b.2 or b.3 to 
any of the destinations listed in Country Group A:5 or A:6 (See 
Supplement No.1 to part 740 of the EAR).

List of Items Controlled

Related Controls: (1) ``Technology'' according to the General 
Technology Note for the ``development'' or ``production'' of certain 
``space-qualified'' atomic frequency standards described in Category 
XV(e)(9), MMICs described in Category XV(e)(14), and oscillators 
described in Category XV(e)(15) of the USML are ``subject to the 
ITAR'' (see 22 CFR parts 120 through 130). See also 3E101, 3E201 and 
9E515. (2) ``Technology'' for ``development'' or ``production'' of 
``Microwave Monolithic Integrated Circuits'' (``MMIC'') amplifiers 
in 3A001.b.2 is controlled in this ECCN 3E001; 5E001.d refers only 
to that additional ``technology'' ``required'' for 
telecommunications.
Related Definition: N/A
Items:

    The list of items controlled is contained in the ECCN heading.
    Note 1: 3E001 does not control ``technology'' for equipment or 
``components'' controlled by 3A003.
    Note 2: 3E001 does not control ``technology'' for integrated 
circuits controlled by 3A001.a.3 to a.14, having all of the 
following:
    (a) Using ``technology'' at or above 0.130 [micro]m; and
    (b) Incorporating multi-layer structures with three or fewer 
metal layers.
    Note 3: 3E001 does not apply to `Process Design Kits' (`PDKs') 
unless they include libraries implementing functions or technologies 
for items specified by 3A001.
    Technical Note: A `Process Design Kit' (`PDK') is a software 
tool provided by a semiconductor manufacturer to ensure that the 
required design practices and rules are taken into account in order 
to successfully produce a specific integrated circuit design in a 
specific semiconductor process, in accordance with technological and 
manufacturing constraints (each semiconductor manufacturing process 
has its particular `PDK').
* * * * *
4A090 Computers as follows (see List of Items Controlled) and 
related equipment, ``electronic assemblies,'' and ``components'' 
therefor.

License Requirements

Reason for Control: RS, AT

[[Page 62214]]



 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
RS applies to entire entry................  China (see Sec.
                                             742.6(a)(6))
AT applies to entire entry................  AT Column 1
 

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

LVS: N/A
GBS: N/A

List of Items Controlled

Related Controls: For associated ``software'' for commodities in 
this ECCN, see 4D090 and for associated ``technology'' for 
commodities in this ECCN, see 4E001.
Related Definitions: N/A
Items:

    a. Computers, ``electronic assemblies,'' and ``components'' 
containing integrated circuits, any of which exceeds the limit in 
3A090.a.
    Technical Note: Computers include ``digital computers,'' 
``hybrid computers,'' and analog computers.
    b. Reserved
* * * * *
4A994 Computers, ``electronic assemblies'' and related equipment, 
not controlled by 4A001 or 4A003, and ``specially designed'' 
``parts'' and ``components'' therefor (see List of Items 
Controlled).

License Requirements

Reason for Control: AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
AT applies to entire entry................  AT Column 1
 

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

LVS: N/A
GBS: N/A

List of Items Controlled

Related Controls: For associated ``software'' for commodities in 
this ECCN, see 4D994 and for associated ``technology'' for 
commodities in this ECCN, see 4E992.
Related Definitions: N/A
Items:

    Note 1: The control status of the ``digital computers'' and 
related equipment described in 4A994 is determined by the control 
status of other equipment or systems provided:
    a. The ``digital computers'' or related equipment are essential 
for the operation of the other equipment or systems;
    b. The ``digital computers'' or related equipment are not a 
``principal element'' of the other equipment or systems; and
    N.B. 1: The control status of ``signal processing'' or ``image 
enhancement'' equipment ``specially designed'' for other equipment 
with functions limited to those required for the other equipment is 
determined by the control status of the other equipment even if it 
exceeds the ``principal element'' criterion.
    N.B. 2: For the control status of ``digital computers'' or 
related equipment for telecommunications equipment, see Category 5, 
Part 1 (Telecommunications).
    c. The ``technology'' for the ``digital computers'' and related 
equipment is determined by 4E.
    a. Electronic computers and related equipment, and ``electronic 
assemblies'' and ``specially designed'' ``parts'' and ``components'' 
therefor, rated for operation at an ambient temperature above 343 K 
(70 [deg]C);
    b. ``Digital computers'', including equipment of ``signal 
processing'' or image enhancement'', having an ``Adjusted Peak 
Performance'' (``APP'') equal to or greater than 0.0128 Weighted 
TeraFLOPS (WT);
    c. ``Electronic assemblies'' that are ``specially designed'' or 
modified to enhance performance by aggregation of processors, as 
follows:
    c.1. Designed to be capable of aggregation in configurations of 
16 or more processors;
    c.2. [Reserved];
    Note 1: 4A994.c applies only to ``electronic assemblies'' and 
programmable interconnections with a ``APP'' not exceeding the 
limits in 4A994.b, when shipped as unintegrated ``electronic 
assemblies''. It does not apply to ``electronic assemblies'' 
inherently limited by nature of their design for use as related 
equipment controlled by 4A994.k.
    Note 2: 4A994.c does not control any ``electronic assembly'' 
``specially designed'' for a product or family of products whose 
maximum configuration does not exceed the limits of 4A994.b.
    d. [Reserved];
    e. [Reserved];
    f. Equipment for ``signal processing'' or ``image enhancement'' 
having an ``Adjusted Peak Performance'' (``APP'') equal to or 
greater than 0.0128 Weighted TeraFLOPS WT;
    g. [Reserved];
    h. [Reserved];
    i. Equipment containing ``terminal interface equipment'' 
exceeding the limits in 5A991;
    j. Equipment ``specially designed'' to provide external 
interconnection of ``digital computers'' or associated equipment 
that allows communications at data rates exceeding 80 Mbyte/s.
    Note: 4A994.j does not control internal interconnection 
equipment (e.g., backplanes, buses) passive interconnection 
equipment, ``network access controllers'' or ``communication channel 
controllers''.
    k. ``Hybrid computers'' and ``electronic assemblies'' and 
``specially designed'' ``parts'' and ``components'' therefor 
containing analog-to-digital converters having all of the following 
characteristics:
    k.1. 32 channels or more; and
    k.2. A resolution of 14 bit (plus sign bit) or more with a 
conversion rate of 200,000 conversions/s or more.
    l. Computers, ``electronic assemblies,'' and ``components,'' 
n.e.s., containing integrated circuits, any of which exceeds the 
limit of ECCN 3A991.p.
    Technical Note: For the purposes of 4A994.l, computers include 
``digital computers,'' ``hybrid computers,'' and analog computers.
* * * * *
4D090 ``Software'' ``specially designed'' or modified for the 
``development'' or ``production,'' of computers and related 
equipment, ``electronic assemblies,'' and ``components'' therefor 
specified in ECCN 4A090.

License Requirements

Reason for Control: RS, AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
RS applies to entire entry................  China (See Sec.
                                             742.6(a)(6)).
AT applies to entire entry................  AT Column 1.
 

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

TSR: N/A

List of Items Controlled

Related Controls: For associated ``technology'' for software in this 
ECCN, see 4E001.
Related Definitions: N/A
Items:

    The list of items controlled is contained in the ECCN heading.
* * * * *
4D994 ``Software'' other than that controlled in 4D001 ``specially 
designed'' or modified for the ``development,'' ``production,'' or 
``use'' of commodities controlled by 4A101 or 4A994.

License Requirements

Reason for Control: AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
AT applies to entire entry................  AT Column 1
 

List Based License Exceptions (See Part 740 for a description of all 
license Exceptions)

TSR: N/A

List of Items Controlled

Related Controls: N/A
Related Definitions: N/A
Items:

    The list of items controlled is contained in the ECCN heading.
* * * * *
4E001 ``Technology'' as follows (see List of Items Controlled).

License Requirements

Reason for Control: NS, MT, RS, CC, AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
NS applies to entire entry................  NS Column 1.

[[Page 62215]]

 
MT applies to ``technology'' for items      MT Column 1.
 controlled by 4A001.a and 4A101 for MT
 reasons.
RS applies to ``technology'' for            China (See Sec.
 commodities controlled by 4A090 or          742.6(a)(6)).
 ``software'' specified by 4D090.
CC applies to ``software'' for              CC Column 1.
 computerized finger-print equipment
 controlled by 4A003 for CC reasons.
AT applies to entire entry................  AT Column 1.
 

Reporting Requirements

    See Sec.  743.1 of the EAR for reporting requirements for 
exports under License Exceptions, and Validated End-User 
authorizations.

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

TSR: Yes, except for the following:

    (1) ``Technology'' for the ``development'' or ``production'' of 
commodities with an ``Adjusted Peak Performance'' (``APP'') 
exceeding 29 WT or for the ``development'' or ``production'' of 
commodities controlled by 4A005 or ``software'' controlled by 4D004; 
or
    (2) ``Technology'' for the ``development'' of ``intrusion 
software''.

APP: Yes to specific countries (see Sec.  740.7 of the EAR for 
eligibility criteria).
ACE: Yes for 4E001.a (for the ``development'', ``production'' or 
``use'' of equipment or ``software'' specified in ECCN 4A005 or 
4D004) and for 4E001.c, except to Country Group E:1 or E:2. See 
Sec.  740.22 of the EAR for eligibility criteria.

Special Conditions for STA

STA: License Exception STA may not be used to ship or transmit 
``technology'' according to the General Technology Note for the 
``development'' or ``production'' of any of the following equipment 
or ``software'': a. Equipment specified by ECCN 4A001.a.2; b. 
``Digital computers'' having an `Adjusted Peak Performance' (`APP') 
exceeding 29 Weighted TeraFLOPS (WT); or c. ``software'' specified 
in the License Exception STA paragraph found in the License 
Exception section of ECCN 4D001 to any of the destinations listed in 
Country Group A:6 (See Supplement No. 1 to part 740 of the EAR); and 
may not be used to ship or transmit ``software'' specified in 
4E001.a (for the ``development'', ``production'' or ``use'' of 
equipment or ``software'' specified in ECCN 4A005 or 4D004) and 
4E001.c to any of the destinations listed in Country Group A:5 or 
A:6.

List of Items Controlled

Related Controls: N/A
Related Definitions: N/A
Items:

    a. ``Technology'' according to the General Technology Note, for 
the ``development'', ``production'', or ``use'' of equipment or 
``software'' controlled by 4A (except 4A980 or 4A994) or 4D (except 
4D980, 4D993, 4D994).
    b. ``Technology'' according to the General Technology Note, 
other than that controlled by 4E001.a, for the ``development'' or 
``production'' of equipment as follows:
    b.1. ``Digital computers'' having an ``Adjusted Peak 
Performance'' (``APP'') exceeding 15 Weighted TeraFLOPS (WT);
    b.2. ``Electronic assemblies'' ``specially designed'' or 
modified for enhancing performance by aggregation of processors so 
that the ``APP'' of the aggregation exceeds the limit in 4E001.b.1.
    c. ``Technology'' for the ``development'' of ``intrusion 
software.''
    Note 1: 4E001.a and 4E001.c do not apply to ``vulnerability 
disclosure'' or ``cyber incident response''.
    Note 2: Note 1 does not diminish national authorities' rights to 
ascertain compliance with 4E001.a and 4E001.c.
* * * * *
5A992 Equipment not controlled by 5A002 (see List of Items 
Controlled)

License Requirements

Reason for Control: RS, AT

 
                                            Country chart (See Supp. No.
                Control(s)                         1 to part 738)
 
RS applies to items controlled by 5A992.c   RS (see Sec.   742.6(a)(6))
 that meet or exceed the performance
 parameters of ECCN 3A090 or 4A090.
AT applies to entire entry................  AT Column 1
 

    License Requirements Note: See Sec.  744.17 of the EAR for 
additional license requirements for microprocessors having a 
processing speed of 5 GFLOPS or more and an arithmetic logic unit 
with an access width of 32 bit or more, including those 
incorporating ``information security'' functionality, and associated 
``software'' and ``technology'' for the ``production'' or 
``development'' of such microprocessors.

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

LVS: N/A
GBS: N/A

List of Items Controlled

Related Controls: N/A
Related Definitions: N/A
Items:

    a. [Reserved]
    b. [Reserved]
    c. Commodities classified as mass market encryption commodities 
in accordance with Sec.  740.17(b) of the EAR.
* * * * *
    5D992 ``Information Security'' ``software,'' not controlled by 
5D002, as follows (see List of Items Controlled).

License Requirements

Reason for Control: RS, AT

 
                                              Country chart  (See Supp.
                Control(s)                       No. 1 to part 738)
 
RS applies to items controlled by 5D992.c   RS (see Sec.   742.6(a)(6)).
 that meet or exceed the performance
 parameters of ECCN 3A090 or 4A090.
AT applies to entire entry................  AT Column 1.
 

    License Requirements Note: See Sec.  744.17 of the EAR for 
additional license requirements for microprocessors having a 
processing speed of 5 GFLOPS or more and an arithmetic logic unit 
with an access width of 32 bit or more, including those 
incorporating ``information security'' functionality, and associated 
``software'' and ``technology'' for the ``production'' or 
``development'' of such microprocessors.

List Based License Exceptions (See Part 740 for a description of all 
license exceptions)

TSR: N/A

List of Items Controlled

Related Controls: This entry does not control ``software'' designed 
or modified to protect against malicious computer damage, e.g., 
viruses, where the use of ``cryptography'' is limited to 
authentication, digital signature and/or the decryption of data or 
files.
Related Definitions: N/A
Items:

    a. [Reserved]
    b. [Reserved]
    c. ``Software'' classified as mass market encryption software in 
accordance with Sec.  740.17(b) of the EAR.
* * * * *

Thea D. Rozman Kendler,
Assistant Secretary for Export Administration.
[FR Doc. 2022-21658 Filed 10-7-22; 11:15 am]
BILLING CODE 3510-33-P


This site is protected by reCAPTCHA and the Google Privacy Policy and Terms of Service apply.